From 5c522ebcc471e6b4bc1a38b7a57175318f764cac Mon Sep 17 00:00:00 2001 From: Mauricio Baeza Date: Fri, 25 Dec 2020 20:50:41 -0600 Subject: [PATCH] Remove row --- easymacro.py | 63 +++++++-------------------------- files/ZAZFavorites_v0.6.0.oxt | Bin 79244 -> 79021 bytes source/images/delete.svg | 2 +- source/pythonpath/easymacro.py | 63 +++++++-------------------------- source/pythonpath/main.py | 27 ++++++++------ 5 files changed, 44 insertions(+), 111 deletions(-) diff --git a/easymacro.py b/easymacro.py index 22ba481..97bd577 100644 --- a/easymacro.py +++ b/easymacro.py @@ -4753,6 +4753,10 @@ class UnoGrid(UnoBaseObject): def column(self): return self.obj.CurrentColumn + @property + def is_valid(self): + return not (self.row == -1 or self.column == -1) + @property def formats(self): return self._formats @@ -4778,28 +4782,6 @@ class UnoGrid(UnoBaseObject): self._gdm.addRow(self.row_count + 1, row) return - # UP - def _format_cols(self): - rows = tuple(tuple( - self._format_columns[i].format(r) for i, r in enumerate(row)) for row in self._data - ) - return rows - - # ~ @property - # ~ def format_columns(self): - # ~ return self._format_columns - # ~ @format_columns.setter - # ~ def format_columns(self, value): - # ~ self._format_columns = value - - # ~ @property - # ~ def rows(self): - # ~ return self._gdm.RowCount - - # ~ @property - # ~ def columns(self): - # ~ return self._gdm.ColumnCount - def set_cell_tooltip(self, col, row, value): self._gdm.updateCellToolTip(col, row, value) return @@ -4808,39 +4790,20 @@ class UnoGrid(UnoBaseObject): value = self._gdm.getCellToolTip(col, row) return value - def _validate_column(self, data): - row = [] - for i, d in enumerate(data): - if i in self._columns: - if 'image' in self._columns[i]: - row.append(self._columns[i]['image']) - else: - row.append(d) - return tuple(row) - - def remove_row(self, row): - self._gdm.removeRow(row) - # ~ del self._data[row] - self.update_row_heading() - return - - def update_row_heading(self): - for i in range(self.rows): - self._gdm.updateRowHeading(i, i + 1) - return - def sort(self, column, asc=True): self._gdm.sortByColumn(column, asc) self.update_row_heading() return - def set_column_image(self, column, path): - gp = create_instance('com.sun.star.graphic.GraphicProvider') - data = dict_to_property({'URL': _path_url(path)}) - image = gp.queryGraphic(data) - if not column in self._columns: - self._columns[column] = {} - self._columns[column]['image'] = image + def update_row_heading(self): + for i in range(self.row_count): + self._gdm.updateRowHeading(i, i + 1) + return + + def remove_row(self, row): + self._gdm.removeRow(row) + del self._data[row] + self.update_row_heading() return diff --git a/files/ZAZFavorites_v0.6.0.oxt b/files/ZAZFavorites_v0.6.0.oxt index 4dd95d2df8d0f9014ea726d1067569f3725ae91e..a2f70d78596f2ad93a5db82913c125a6b39473eb 100644 GIT binary patch delta 38964 zcmV)OK(@b(>jbUo1PoA10|XQR000O8LZ-0{iU9&brn8s<3*2}|N-01g)V;nEE-O=t*TxN?i9 z8_vM)pVF3_owb4K7ZgMxPy|a#Ryd3(`iy9V6B45z5zG)-MU#gtsh{8|iS3F7IArL6 zD8(@eP!2Xfz%ipk6kSr7O>hik<8Frn7Gpn*Ai%M=^4zpkev?-Bq25&!@IaCvlSZ*Fj5bZ9SaVQFqIaCyyG z>u=jO5dZGKf<-@2YF#DiUO<4N%eu4;kY+`ap<5piXo+jmF3NLfw_ zY#(NzaD0y+@5Q@gA3oTtOu07`YLBZmSzX(uR^Lq~qFCwD63)zZ!GE$+6J`iottOMn z$*<}0AD72x7bm}+k!OU`NeW+QTJgz4@|9#oj#R_TwaEA(C67s<@tDx(6ksyRj3F!G49sDkG;OtRoMoeRmMxRVicqO^6Qy&`@} zZmUxHat=-?&#q9TFbg;>jp$nxT$Ow+bYz>Ieo5{qQ$j_-7ToORTz_)Q6SH1;wmV(`;3!dx z9S?GvEJ~3ln5t4=MbRq3_@{~$d|G2oomug?o`HmAT*}mHEv;BZ`_q6Am}#afWuF`X zP7=Bs0Kt8T_IsWm)}PUrjM;3N@-G=*VMPsV&9F7UyV$zyzhlahYh4l4!9PkeXKP&w z%guATRe5;$-+vW14tiJ$qb1qVX3TK^x+-oHE(=yAH|!>P*&TYjp+OBa_o<6hH7mHP z2GqGO34|95zGMnAJczp)7$@0`OSI;8lMpyD0f2(p2r(X$gCK7oAdNu(6qb5(U46Ny zwcM82j^g)FFs!L7%BqwjVx|D0TY8FC)5F?&DE$|@D1VsBe?LD3BF49M!y#-!h$e2W zEvE2lFNCL0=w>V4-=GKO)TJ>=5*puSBF(g#3%IOzAJs9l8VGZSLau1KO6@BqXO^K+ zf$zO%oqco~N(6Q`XAMM-=9ZTTl2l3`p7RW@)Jy0Or^47F+(qpQNViV)0-H%twz(Eq%tx3j%_qrI-gv9{&MrY={UtMKoh zgyy=MfeHWbyzbw9j#te-)?&CM0Gdlg3JQtK$o}{LSvPQqYd$4yhf!sI(aA0Uti~ve?MljdQSU zI;g3usk)>TB-4WxYOdYv8x&CBOt+HtFqCdBW83}@wy};vjIY$V|7!yYERG z_C&)}YL@XqhQefo&kDGX?~mS-e)2TQVt?hr1e1!MfnPXZY8-E*&`V(@vK*#Ie#FZ)8-o7PVKa= z_+b!JSDaZLk|vgpRbM`IJ?a2%yHw&#gjy!fW89S9urFOYpYCs_BRa5{f#7SXqZ>Gm z$s;KiwKIgCb0cmzn>oRkOd9UCFEpWp-GNHKO}*8Q@5$;bxL{*BT@2`*S>KNr?}1Og zSn8{Def65N+$~|3WySqGAb9;EAAd?b>WuCRyGP0Yf)T)T=wBz(Y#3d(bbLK(QO4!fF=#v)N`S) zv_|G1P)h>@6aWAK2mnH+nNf9pCI7&a002t40st2Pmku%k8Gr1(>w4oxk|_9JPl4k( zeMs3f>f%dxYeq9>=~BgQ-9}W|u2Jjb2a%wJE|Opapj2|L_FeW7_8HELZCvu634oHS zZ1>1qGy?A^ZtG>j#Dh2djd*?c+605!CyNEX!{ zkWDBK_fB`-K$-rl!NK6{9Z(Teg|V(0(rAZbbp=Pq%gMQG`j)fl4zVS@7jlruq3m5 zaYYR!R*Ry+G+N|UFDik!f0I0SdwUySEmm<}Ts@m{>GIjX10m2MIWX#FQl{U3E1$;Y zjrO(q6aW=pFg z8K;-Y_`Q7bOU8varbRx7A)3w7G4ga734r4Q=3P43&Bm1n6DOBr33s@vlFM0om@JoA zH-S#laW;n$79hL)$lub%YA!zv7S$d+@_&cvYB8?ze5NS4Es|x)-NUZ`Iw>_|{+C^`f^6Xc&K86*H(SF4DiR(o*3*<;Na= zhB<7*rbPkMLO{MfJ=nwNUi9Yd?1X+Q)`Wgo8a!3bD|%##`9Y!?9zx*{<8;X~3V$E_ zE0{xzDjSpBV$9sBbC`&ki;BfCyzVyIxu9%Ro;MoJhSz!X5;VdzL zkeSu6A^RuQM0GZS$dBn_oC9AeCdO}<`3KcMt3_`7yiRA!w2 z%#P$LeU*QBwW=y)V37ZZ!`Gu%Z-39uj*o`n(y=afnwHQziu`wbHAOTdjH_gEHG^eg znEe9(J;@c*dDWccB?2kNJsu7R|Fs7t;lIt*{3Qjhg#R4kYM7-3J?w$#4^=hJ7a)v5 ztjf|y`EWJ9-kYU!D4@6~P!h%|0pYMHr@HXZXW|7;>|V4BAp5iA2HDt0aDSO5T4keU!3!p-NRW$>HQyay9@j28^oC7h|T;#Kz>jdO! zrF#q()c)(!{>hustJA&yUw`U~qyfB;4AC6$vKJD+E?VW}QFnHtVKFQ$& zP%m&T4FJy)E#H17u4w=^F&~0_UKcb6z2zaWhj|OtW{LfLyeij(g_*G?(T z*M$5jTTJrXbQQx0#tQb=rugxG<;~8VJepFhJxj|$d-z}A%8s)$Lyy33Fp13 z@`Di(&(LDX$zmWiY?6=5XW3--tVpNN7BKD0B`6$F&*;VI1yn`TQT!$f$C z%KZ!kSA1Q~XU#ol!H3#W-GO}g5yTIykF)+PyIP>|Q}g`zqz8-F?(P8yh*!t3HXMd# z*-e(-#;V)Q`8gaMy?@5CAXCl;y$F=@*Lxuh<>V6N#C#6cj9DDCaV-6xZ}(0?Q0*Ka z9`=uRH#Vx`ZfhfgKUQbb^fpyRaKv$a)`E#-BR`6t#@oLV9{d}PQYrFBs6~NH9*s8m zT{Ix%q&(nKnR4~Au4r3hhu;dlcyB)Emw$s^qSB;ybvtMTEPqlmcAzW3w&C`G{j`|f zMcI@rKQekI!UiQ(A9aq-=Elax!SU-6O6~p`pzr*lo0MZzVmHg^>*x>N8J0ob63=s9 z!Z*tf72NHg?NPDGvp>wA{o!5ohc{b)INbWfu(Ki5^*EVK4iE{hOI`VL@AUNev=?>$ z`uv-3&R_iNw|_6@^hP{Bp95u$<#+d9y?u@4KfJg+|K^)HJ+EDQaI}A{3csA=W2h_) zO*V$5W13xYKWsbIv(~k(d$32=&UDW2uyY6-^pGqG=FS29OpESlgxxwC;TT9`4h?jG zh(HQgsGKIVioT)#HZEgUC_#*MI2;AX^x+Jv82gJrDMYa47WT z8!(Bb&WNVSuz!H#e%TrS`MYob^!=azJoz^L_M4ZJ>Ez|*x8FnWO_J&5<@4_+osEq% z&_dBd-i}^8r_n#^AJXW9+1T0G9~|t_o6*kk(f;5ye)uK%U!Iv*LHg@_4J6H$=$+)xe)9HX=I=4jztA1x=_q6{LK2M6|wzDxf zJUK<<8eTwq3Si65#;g92=)pPF*?{qQgHJF-*Ys_$BR*zh{5m+|uNlyG_U>eFw0n#I zA8B$SF2E_N{A-Ag|P7e zMCM6+Vmvs-v3I;Cf!vf}D2;~ssu-uD0Cu2T09k%DOX7Y0SLmKuTIxy$e#g<07Qe!t zAMEZa^n)W0eF1YK9>UKGbnw*-Nx9$HIDYkijdu194sgJRV^l|vFaOq|*R&+)_otwP zrGNIvq4Tw*&otuddso)bi&Qz|=Wvs07{2MBFuvfh4R1aSuajlEqpbFhF6Nbjhb44P zJRDOYMKtNO%&WuOpOJH3!*X(+jgLw8cbF7Zw}%D{H?5<-xt}^h%+%NfG1~#hFq%oF z&1#|IA03axuf6|#+dse;XCwN#F`gx58GqTOyTZq?$;%uSrHPME5*JN>`iyu;1n_5p z8Qy&JKpm(|i<_)P1A4p2g+Z}5$&ks?8R65>Z=@`G)@ztQLm0qcS&GzGu0zEatM?6V2=geCspit^tc7dcYjIp z{p2zoC+X#P>5D%l-~RFY%jd~=e|q`HKaaorVW5Y^lDJ4l!DyJ<+e z@K62IBT#935vw4F{hia}jUW3%t1C2`&(R_H17-j)KU5`W>`S%<4-O##1yOl3O~1TB1k;nN>>lj0UtiMKL(PLe(@3LjKwy{{eNE_J82Wc%T|0Sq z_U4#WA>Oy@Y=F(ct>#g80pj!nt|lX5UhK4w_ZuVRhKhvW#&8c5z}+FJzbNCse;$3w z|9ScC#_r%$X;`4PCAea3sb8!XbY?kdfc!!JBqiW4d+&5%&{sew+<%H*fV`%!hsV2v z{lVVpP{Wkh*|Y)}!=V=s-wgK8L`CDOm^q&T%M0-pbZ`ITOQYyK8T$a=5HYkNlrC1~ z#?kTa-so`e=k?UT4J;NugR)2m*SFZZ{1|H0hw}O%7ckLjN5Gl+N^_f;nqd3~^>f?{_V15Ks z6hxT%JfKGtfGsBluuqRB067*)2Y_Uv)k2a#3TlW?=4W)Ys;{WunjguxsXLE0R2&kW z`tiRe$P(CAj}1K7q=8arkOoiIXOQ;BXMD0t=ePFPA-oX~z<*)8d;9&j2WKPP85p51 zOU$*n0{$`H2p+=sa+*EE2T{r2md5+PEz>K;eFze#&w8<(Z8#Dil`QTY{{_qS(X|0A z+tVL*lEpa1NhN;SU)jY&8%qd6k7JNrNf8w*7%3lgk`?ezUfj9x@b+&a!cWbf#ql zav;aDS772tsVv4d+~eqM?411!BLjSa>%+l=g?@($hM+O5GmXH$qfBrNpV$f;l|ze z5!ARDef{tFz-t678CF5P`im-nq~T%A^$x_lpP+;!8!6}^)tgi_odHIwaBWQe;)=}J zQsC-h@qgZ?#8;;4p`y}UsDP!rSSV=GchIXN7*lL2I)-o^A90QE)4Oe0qq!b@6)^d* z42&$<>O1BWSkgBz%V7b)(!!E?2!j52OimCiK3+n}&>(|0UaUm(ka7UCwvW2#C)@7e zCz_?bh+c22R&r70K&8qOtZdmhB%(bqDu_vrihtl7K#(jZVcShLwE|}ct?7gQ(d)N;ay~db(E-Ig z#{Fucrw^gj^4Ybu=G4n!Q1MPO8$ZMUtT1fg*)awPJwp$8XXDN%o;WG$yn6?9&1*j&9>V8I?dRQH z_>9)-=y-pBxQ8~W3|PT!+O&Jui{`y(vVR$au2oF3MKV)Tl({2YOmo3`v-~PHUK&C~ zl$fNKtE=vpD49eN)KH+#bCA8=WRn7YaA_ggccGASxz1lJp}u3O%>aI^_7Ui*SUdJI zxk*O=-$l_tuI@xsLpusMp5jv{>1^Uun(C=EjmeMOopwGVF^l}RySaJ3_2SPL_kYpX zAEh_>{_CitXB&r>5IsFyozi5!Jq-{xPO9;>-hSy$1zA5(G)q?$K}d{u;STCWUw--i z*61ovt{L-v>Xp$VqFI6%OTjdjE`RH*V{Ms#^YZF4|Ik%&L@}$)*wU^cLT%P#F%KXk z9M)|u?`2z{3lzJQOHhBh`Q_heVSlGJ9T#^d<(K4_z%tTVfW=QChcN)e0*4qiXbgzt zq=FF!q$q9a%#0VUcM<<`@mATO&FY z#n0Y{DqT?2;o<3k)}1K^CUzXT574gU-(VVAC@xkDAa2CLVcqFMPDcLJ{eSX3Gm^=8 zK$eOni_f?qEchU_wxal-kQ)*Zhm(Lo%t=e8zJGvtmVoD2^5PsmUr6rbIVko$dr_5Dvvix50!)UIsy#a9h{4RN6v*WzW5^AAuf&t<_IIQ)R>BLTahT&!+6sL@CN-R z_M~5bU!}zz{X#S*3iKJS<1)<9kuq(I8~9m=ZtW*zkg>y1w1t&In16!rvnn({y`YIR zh82@_nG_f_uq{!b!nT{1?<*O$A$SVfX8>_6ny@aT(Y>z5M~oV+A!-NPVpG73ZmsdE zN)-cA=pimAp|MwdjB#v0RFF-2QHU|#?F~mqxc@8%uVaxSQEpRI9FrmjznK!r*daPJ zBWgbCSb9tylRpr>#DArbquNEEf$Qvr1_nd8l|d^3*>;07R&K<`pexMFt|1~(_Q1MP zu9oOeE`?>=y$Ngm?@g*nT_>4>)R2?gCr8<`^c^hmZNuZi+rbCNsGMqRUvBsw9REan z)cOl=?j9WDMy`IVHgFG)2fSykzwz$u!7;{V=(oHDdvJV+YJZgpJJ=Dx*;x7pl;D7- z34Pb>c#FwI8_y-c1w#leH5)_Ge6$ocQ}+gqCb0eSLk~R^pk{B>xN-yJAuB8L zKK4%r!c>~gbSsFYEe^(C6*J)iWJe!%$M(m2$0m?PfuEfYq0jje7eh~gXp>38%hh(* zB88~Hjeo9Q^t^}20?IYj%F2PGO|I4bT(Tn_VPb4i|3r$R{VO?lgM5C&T;(ar25*^R zdxlm%iQlD30pAEkdT+#W`Tc?p0|)dz2W&y+Ue@mlv%q=-SI}L1hQ+yp>AEnuY}fDQs6|um**Yv z+<#&B+nl1@&?ynK`$0I17#1G{ARaDQp`FOzI7UFeL~rj2@7`|;uO1&)vZ2LGgvK4O zj$UOo_HBj9aGPi%mv{fOqsP=LM#^ZY)bxw^BR|~7UzkI!soWM6*~4wLqLVCXmyCnz z2nxCzKin)PZlqzy_&)7?gdg`?A4R|4OMg;6V&~rfhit<3NBQgif602`o%nU%F-IK` z%5kUlbdHC5%`yKMXF)ey-MEnByJs@&lJMi&{(6;7(r0`Y04y~9YqXC`*KJaxX6{yydXK9a2bU)nd3Kgw6ta#d;U<#jrnF}iq?jybA{?dUm*YdV4} z_O(PIcNBFMME6|NENa^pkD&agADDJoF}u!{$tVB=oyR|*nJ#=TmEGf+Q!?WGvw`ZEK(0|0lqdRB< zAdG0gEoSfNYzdtRk|P)wc$9Z3`SF3fq>Qke;*P`ucpfyRsvsz-aF??*U3N>cqKdA= zMcw{jYyTXbh#Es7Czvzi028ZND4Id^WYuT{$Y#?X9pI-k?S#1((KIqW@D8fjDzDpy zPtcrvxlED039a){X^G;O{ePJ%Mvo!>tI0*yr<%+#1>BaS_C_Qg(n%c0V3BsjMo?EH zl)8c;Q*N&@kpxX`gXZ`)$wWcB`{kc0Wibs-~yZ!g8DUQ#LJBv1plLn2i8EeUjNA){r_Bj4a?~kg26u|A3t5ZL?nt##yL=VQl!)|I2OxqSMO_gR%shUby!`Ha+fOK# zNRgx?HbCUrw9U=Hf)k$(vC;E1dq3fKeywm;qj zs}|`r`>@?X5Hnb7M0t0%BljGelxkd#t7V6+sTJz+6!R;VAvSM8@#R3R4lMGjCZ)2V zfObZ})4~M(8+PST3Mat`9k*iW1WqfAO2G?1I~oA*G0}m*x@jrN3?zBL>Ple@DF`p!e}>kh>(k z4^vQ>$7T2V=KY7-k1sawrT4_NJ%pU^e85w^Mf871S4FyvzWDMB_&Hux(btpc3;4gU zr{8uQLVr+eWb>8HI_4Z_++wNAbC;lpfXoo`wPR;(v(bDFI!{`ZG>>l5BAea`Qwuv0 zr-#Luu&g4@(lPRPco6A&w54wD>njhZdyTWe=d(_*1h6z$U! zHy)eL&s~3P)Ed_^!)s@DmA_9H6s2hrZ1}W{#u2Ov>1Ako_sI73qQT+@kAI#qVuMg2 zU)N~6B`rm194&)9Ly@+cC6kmGLBkCV%-@ z^oYFy4ew*I^DB-zQCV?9dzUca8SRdvHL)vbIIor%2b5B@X*#YN{6DNK8k|60icv|$ zO-){Z;{5nj1(ln^uR2nWPCv%6a)ztGI7!21r=n{QkVd zBPDjj@Q)^t{*I5vS0EQwSF?OMGd?>5DS>DcN<&@e7(THbbvsv>G_Qkt43PzV(aBfU zEYIJomxedr4I?MHMD(B%LRfvJ!(m8~nJ~s2gu*$7P3)1M6y3oh9m{4TqJKEOp5@&Z z7_uCyS=j~`z$LbJv271((P%h4__VF^^{gj#>P8)wSTn6;pq^$zd4TZ3CQbyN?+DpY z&%D}M%7`V=N-+V{>%ZguJ_enuG>NcI3 z^oLB4OIAam>TivzX<|6DY<~@M+KyYUNmHN?n+|l?WEe{GI+c8S#MVnKTrcyjRRr%N^FHGMzt?1L87xlk)APdXRqEGG~_(tmlU$_+s-V0OkP z3~z;x$0D_Y13O%Cx*ZE?DPOwKbV?0Dbtcv>`NQdyg+7FXe|R>MEPMn8%?jv&ahBMf{;!hEE{1Fq>F4hlyiIz$wl0>Kdn& zML3SB2qUm*o-W9(CV%!A6i-+%OZJh;{I^{z!UInZjjOc!nooT>^B9Yw$9uF)?$EYF z?;%=-qsvSEBnG>ot>_7b1&<0fPb6Yj7X)fL$G>u6HRG zA)hcOMyZ%2NRDDVxt~bKWDTR0!RVwc|Fi-jMVqwU<;YmP695{gg>ulQP_x%*o2M;H z>y7nm4%X0(jLZ*ecc`wx@~ozyORt~-FO51&guXzN(|>hg1tUBiO|e#*C>d+z4TiuH z-ri@=!eE~KCZ2_V`VT&x|G*O!bk0Ip^oFTX>tGPPYB>;EElhFQKs-3?pL|PJu8Y6t zxGWxF##)s;u!4XsYkNQ1tyxDiz??hYUPbMaYSAt(arg%{vCtDc&1a}u>WMX5P10>k zBNiYLWq(T37+A#@5_*R7y-H#|NmwT)9mxswjhP zxbP~Qv^D5-wLo9d+re%f651$hBw;+pexc(cjrtmzo2>+a+&U1)UmB6kIsIiTzN|cy zkWJ{wk%Na=+wq+O=rKap;zQH829Fhbseh2ZJw2#J1}kqZF2kkGmr;QQ|}&Zq2ta z%0CK3VxkMY?o>Cc^C$b7wca9DSzog__$ANhZQb=>0P~}YH~ayMxMV9dH3G^)qkqB| z>!b`crjaHD;IjD3r9~C5qeUz^I)oDcR&#UddJ7;T+oY-FP!U`6@Dxo(7SB=;PKahF z7zGtl2=UKS3Vl5X5Yx)|CYwysg^k`&h|{DPg?iCkc8n&1;zVU?yjX#$CNH@ORA6?a zSpJ6+T*0B1D<`;4X0lok#h%I!?|*8SGt758N>(5PdCQ{oEP-Si2w@Lhi9xw@`+$nm zZ=> z4z<1d@g9Ljd;-NjeTBN{rhi*b#=eD)lbDHN@lS*#Ya1;To>>S)c zIAb~CgE#KTqjgoa^MLXUIBOjT4y(OYd05J459%Ue?w$kK#Xs5M6MwOT?YOTyL?yWZ zZLKwCKc?Bigj#`v8I~7ytq#iA@>xUSvU{#yw)fncy;s|VR?{5!t?!<)$n$et%2O$_ zvx6>8tvAqDo3ovbpMzt(CyMWVG2Msj`wf*tO#pFXHT?7-^Gy+b)L^+S)%9C07X8Ik zoMdjSVOk9q(_B7hsee|Tg;e;s6v@i2OxGfL7WoUOa9fIlR$%zbwLHFfqIT>p?R9QC&a4OjHBrveMXkSWmM#>vmM;~$ z6%WEFQpmsSmp9o_;yEYS3kzN8pwp-I0`tH=3TI%Mq%#+bzkebbTenn;e}aY&5x{?$ zcNJ%)Gn)jnYH^uzsXGJ+@%sbc(msg5^BKI4bca&gqzv0Ki}cp8U!I8$x;EuHL|_vnDDZzo#{^$gBGdeFa?4zx2E%j%*v9R z5Yw$L<>Mv~P=8OwyC&YJO(2P+ZW1+#0}}xlo2y0j)HxuKJ&2j%l<{PqpQGd6hy z)KLIToDFVJ7iXI{v`Q{p>7>S<0U+bRBHkX7MAJZ;LeoamxRIhU2<8#rd{tLoL%4_> z9~lYw{bZiaKw~=ZXtl@)aPgIll5=1X>e_9P%TYm>jk**VJ{RUMH2F}4KGonvoBck- z)Ee$m!EM;Aos%B0JOOo+Td*O2S;)g5Wk9)+J-JY40d#+O?WkS-7v{=8&u>hhGad&j zx4(X2s=cTzpeHUb&33|L^lxr{N(n2~{>{dosb@do!oh!HtAi~C0%;ewA2CAKgKC+l zNS)T&%Kay&kLxoiT*G%RhXDtcg6h#z;*ZIvq4v`Zt<`31h&3j%AvL3a)4-;ppvkR> zeS~LjUq#Um;{M_ zqTKV;ewQeI>mW2rH50|9gG3oPB$#t-ChMtSu5b-0Vc#2LvjwK9V|?^Z7ZLw%dL6W8 z?~w3X^vtIF5{`1oh{?gn|FKOX!3plwF3i-If=LL*zb%MrrcYwEVU^ljFlkK{Rdc0W z5&hTlOpso23^x; zt=)xluGyXi04|Ha+@4j%YwcK`({|6A^RmAfU*|e8e*-7VvyzSEN=4)c3GHnRm{{tl zX7N!^v=k-*SDhA4V!;RqTZ+6Vkp_#D0`NRWqAt8Av#g|lhe<7hz6b=#wagH^5O43hoBYnO%7nb;u0s8wDz3#CFcG%y?x12N zdq3_SojLJ;_X&A}G02{O!92oXmULpq;g4t8_&vhR^HrIi;O$uofcG8IQ5?jq@j>yQ z`}7Vkx=$CWbw9a<89@*6g#+0b@DEZdOK*FW1>k|ZAy^QF6#V0SL1ZGcfb(T83dEQr zY36*CM%>pg9)5U5Y%qyKC|I*TdxAga#-Ws;B}2D=DUC*IFUl6sPdkTFR`oIiua}i# z_de?K1Imf}&FJGfJ+XMY@6?89Lu(snCk;fM8kLXR_6~V7AjWx8iibyQbaTDM`UCkl zoF!Bts9Qc6LAxvcs)dZR5`!_)Da?QOd}!b z0wWE78&5kxi}o0bafl)=K_;g9z7d#O$+}SyqBT?@+v@8y_B4EKc&5m`KADUNoh2gN zg!#g`!WrJ_6gmRfv)W1mFG3dGMDfB+M(#l^Aa3|VP=nUpl&|~9&1h?ai~Ey_6w)xC zlDQqA#V~~9Fs-ihi8MEPDKhe}YiNn?>`ohhv$VXNd)VBfO!5xjbnafs;^fjdONgpE zLve+TrGln6N*CdQ_B7D+#%|(vpxt=B@UNaO3MK94ZU;J{CUT5;sz~wv6=SFjuJSKh z3U_kPC%0EKx_&qrYt#135tLnJ`obEIHm;y41h^(_T(8(of#D&Q=peaFQ7w>&3-uypG5Vc$A|5JvQXihl znBK>C;TcB>H}v2ux*7TR+J}5^^KZ_Hq8l02#>W2f=^80{SNhuYW>Q)eqM z*;xWITWtAkEIx}CZ-qvww{h83R>2aczXH?|w2JPb5c6yjC=sx#ui; zBrdFLvG6aO3Nf;+7k+D^VK5-3`5oZRT6m`wiY_|x%6DHWU^Cf&tGJzu4kWo? z_T=WTWR4v#cF13hi1f9m)+d>6)j}b93yZitNbgjCVG2a+{cem%9FVXMKEUe{@tB zeL`VSbKX~6g(k%Q5cjWtIvzT@n=Jb!?b3h1EHKlv8ZdIFu6vSWLMt%O?+ie^Mxco@ zde53LFDBmMMZr%#n;Q(S%D6|4sCpMj62GJRZEpGDTVlp2i3x>RzPcNbb5gh^2#dQv zFj-RCO>b3u(88?hg?DCPUWf4+BtyZ2{Zq6EO^@G-bY4zIKJ0%x;`_^f_ zebHz~u<@b3vP1t-+o=0;$04wNI$8d6$Mk6`*V=1h{c68j)aABxA|yZQak8fHYq2M| zo}6~ge%#J$kVVF}F?(>r42$1_Tx!J`yG(BfR|!0MU)I8HUwm2K0CJ@HKryo znsNpXNSPI}^h`7l|mk{KS}d4-N;`Fe=yVvnasDV^)FCCzDmyM~|6cK|D>G4(?BTW@dMuSWd)X$7elDZ9rJfp+zO8jrzSFmPmdSM5aytk z%h0tI_^U{NLj{3VqPBv^OL>lk{znk>@UJ+s&?xU^gFdCmf8GlV{U(VYq(cjR@%f)} z-(#2c<^C-?5!(8OJPnM1;9aUTk_PcZNFFVHWa%8Q^*%-1Fr z`7LbC=8d2 zx}=jP-XK13gM*DNkc{8FCgBkV2zC5fa`|NA!$JgXLHSatP;+YERhdpkpw}lZmE%=W z=IXA020}+~49`8kh_CW0-&;(Mr*8pQA5qnX+FO$NTomtLmZ0)fG~F&5{bI`fSkt3+ zA`-C&C*IT}T{ex6_J`IKr*kZgKCf03^*%e1lE`a}6;EA%hKf(q)S)&{+-QZ7o?DV) z6w+r=i&l9xw1zFb8TAuIDaG}~8bCc$$rT!Za}Dk(dBz(t?<T|*UxADi8+4YSfsdzXn99vNc!rUInInD!SFC1u3!@ocYo$5j zkZ0p7K4NglVk=Ua6fvtJ-AWII&0UhsO(#EvMH~g-+VEa3yi`~J1ON5{!gUu0vfwR$ zZ!kRg{K9hWUZ%;SRC)aN+|Yb#4WF>UHgR<^>W^jDF&bCJED+0l<8Qu3fy|WD znN&A!c5ch~=AMY{-Uf`$Re@-Tr}Ao2(Vy?#y*Hw3k%M-R!N)LKzy($jyqA*OhhY81 zU3YmSkkp^CEYqamtIK7qd5guwe)l1N6|*AL>*NHJ_~05&xtw1G?M0jUaNJc8cLyv0 zd#}#R#DRy_T|}JsP@>cLg)d``RCWz{XRx$R8Db8)QtRc(##*WkYweRJzQE>g`u!Qm zz+%w4ej1k0SOv4EDD3N{P-#w{^7;D1$bMq<$AeR#7%1!0pj7g%0!o7NIWnJrSZx(y z9jaL-rnV#_GEYO0<;`Rts`a^(@nbVI=bV!(Jja~OAD&pT&-xlVEO#az0;g;lwuh0< zh6fX5*gCNmSUav}?0XNJi@v&Jy%?+ZScZ!>CjKDzVN2o<_Fo3uWw|z$Mc~rGK1x6L zhrz7VOhtEz)rBv}H7WcoREdgzjVd=C{}Xrc6a7#2`*IRa3xc;la()3a)@w5|?(7{L zd`6d67W8N_iO7l1op)pFU5)2!k$$Lrq@LYvj@`MaQtqFRjZ0BLhI75>Ez&T^kX{v(d)W}n!O?-Bf_%YDH6!qSf>CXnTE1lPm=E@F;sO2OW0N7 z)1od;B>ktR=5^xOO)u7egZe*+quPyt>PtUH7~ZtFPmMF4{(9S&Q^1Ovm*=;dw9LR^c(x6 zjvSjE85$?gS}4o<+mGo#UfZ-Qj4r|E{eWfO)rnwl_N7 z-yiOsfdHu<)T)QJsFi}XYp>}~0*kNnRS|s|ee?bE=g=g2HP05H0>I1f)hq6>&4+bZ zy$%#`e2Qd%QMND?svqr>Fu*b+7XNNq1;wa1+zaD@+@=t35;|Xi;@hS!54Fzd6uZ)K zKEKS9g}^y~o3Xe#iC;Atf(CPI;?+$?HJy=-ed~c^=wzpAH_&_3PvE0@KZT&~oDltz zEpbPs5Sv;BE=W}1#ny#)UR~eegk`;+&Fshnvu98`gUZRDFYmBJ5^H*HD%R0?7Oazd zmd<=xS*8OH8x;60IPgSk105k0@_s#QNf1YTw}dMRig6UJ69FNP`kG+;%7Ob?f~d^8 zKRXcbJcxIb|G^bo`zkH&MED+x2`ibFggvk0iU4;Ts~Q#e)-+yc_+u?6&C}v)tw^x8 zxqC>6C=ASLSmfPb)jo5brWg+RKv2$nS^1YzTg0=}b2b8<^Slop%ss64_coMmvw2XH zZ^A);O_Fuw`i}~dQR$KaKahztpvQ@|PICT@jT-;N?mw6GwzuifnMf$2>B1Lr|L9#1 zdU&1R4i?K*bqMQea)l+^F3APEWtNrxb-MV6v*-O9Azq>_?5OkvHTMJc|zo z!!y5tUAdP{-@+-B$rp@Ql&V2#`5$h2QJ3a_I9Oaz#B~vwe-t41ubudBdA8`DcfR;| z|Aopmnz1Cws3R_XhnmbvAjY9by%snmt%s3Co}ERd)R)GGVtb6w%~4pqZ7=uVX&<=k zQ7FMEna$it1|?Ya<{cxF!D6h&b=?TQl{FQHnqABxO2G7pPf( z+#mysvYdm(`sgQN@sRzL7vwOpkkCuNFmTRwQqnkTJiSQJ47xLLV6pdMiMlFg9CsaA zZrxr9mkuB018L#}Ff=E`v;+PWRkRQ%SqLmTu!tkH#M_ow5P!0ND`q#92tu`v^E<4AOj$VK!7`@Gzb%Oinh{65 z*Jw>qQ_ruNe0~7vr<;9HO2mn}#l-7>&f4GWtd;O|Y|xxsf?w*Ur%Hfh-6d?rxQIZr z+FdUC+B2t<)4{^Hr%g`^cMF>Q{(N)GSsokRfO0jfY}?qjbs-(W8LL)u9qT;93Fl@C8GWEu2F(h~MO*iuX!iKj2PZ+UTIv|ghFD=(jR z(EMa$GZ#D?r={GXM~c?Fux-^Dj?p1_eEByg1j!wM;8^?NP|E}<-D)Ub3fk&lX5^7% z^5E6r_0jR^-cEnGXOFjP7oN>w9C5k2loe46hLh389T{aY2`YhN7rlOp!q@r{``rYZYXT)w|sHqMN!3`UN z52};QN5mG>2F;Ld9CFNirjBd7NvC<>*eMyF&U+79oJ)5$-1le6Rf$(GoVjTR4)dE7 zFJ^#`--he<)TDus7^cYRD5>_?g#V2~F1)oPRyiC$=2Z2FC zNza2e#o8TzBAebBQi*iJTW!#?7vH`Jw`gaUEidz=5TFBGte)I1Yx9AUgPec;;=*)S zVK*8rMn$>0W@OtR@6D56N@R)n3ahc_B9Ow)`p)kFr~ba_6cdpZb~*8q3rYyL`RVK^W>P6jun`WUnk#{)D z>1xp!qkUHR(M3?w8!hwZWSv3%|B%trQ_sBaUJV5%Y7b?V=WC)LH3sgoJG#8H&byIb z6W9_YWtsh3=P$N;TNm+-k#!6IoB#`7dmYoq;C}Hq)(7vR{~>DAufkikV{*MoI=nSE z1^rA2{b|iHNVOxpT2Dt-(l>l>1$zszH`hp4hzf zggFwf7oxtg5b+mwVTqeR0OYv?Fnpgab)XG@08l?VK>hL{TfDFN7+&ihw#cvpmBxq$ z#`^W7(4*J7==DfF=}q_qx<*=M`$VUH@cXptDCt$ajnH)c48Oxf{&_ zt}j%4<8UMlu>R6H1G7d)Y2Poq%Ov6A--i4r7;=OnA#hgCn%^A5uKeF2XU%zW!68h4 zZ9Ym6M9M}Y|Gl-ZV(No4R2#d`)&78oQl%yMslX%pcuJMxmE0S|wPoIzq9AzPwo$e@ z%OutwQT6MkmRvtSWNkaw$tg^5zT1>uBU93kN99g%JKe-=XHj^>{RRS0%^e5LW6}BR zLPYbZVJJ7fUc_75)=yaLOp4^HNS4>8aaQMXyZ!k@f_HpW zRl*D58fdVwWJGtYMmFTNkST2*na4t0K(}1$>N&K%Rf-9&+1CNMul>tIRPBCCaGb<0m9nD5ayt?)=EQg<7~}?ICxBuXQAWkb1N*30$Ke3aaRTXb8Df zj??BOu5DMWa%ByfBB+6FsUird_5dB;^4oxbPC(;jxi#g(r$0;#ScCAVAfmcMA2s-! z6G9*tt9%enI6})NJ{lZ*i%IB|hdXDufHiSkLro}G_C6dH6WNi(rk$!9SCy2Ss9SOVem1LJ<(3}xL=Dw}We`kCr2?ht|zw}J(K7Y%tfK#P|L z`k8KnK8Y94pBvZZU5EV-HV{?z(9wL2dO|j4wYTFSlV9JS9su6a2}UpA-H6x}7qm~V z1`%`CAC7k301NM&?VUPsGA7~Hd9UsQxo#YL0&bWGlVuDVTUD>Qt)c%uL3Mk8PW&is z=acF=mv|eA+m*SU=>MO8>fW!S?ghA&CDCVR*5By$Zihfs##Wh2;^Xg~s44w9uEM}8jz{f| zgwc2${|KNvZTTQt@JK1(IVGBIpSenfkJZJzbUaD`v!BefSq8RKNBf>T-kIuve|lMe zxcVP6X+B=jGNMcBf_k(u@N}CCWwPit82kZSpQ=a~-o-$F!3xmf^Dy)eJ0u!7koJUg zpltJs(`b}z^LKi(tkbW6@XJ*Nd|rD>3(t8)fx9czDJ=tub9Ok?RT{dBnE!dwEqFdv zo&2)5|3A&KRe=dtVLlt`FTtw*b6@2Xcj@@YLS^AcAB%Me44jO$XbX^rB`htG3vh@g zm3zu5)qkFUPyMG9`3I09wX<%$^RiSdn6`m!XWL+wEvv{r>p(SbpW+vEXQS%oJ9ow^ z^8?|ow~U7rQ|H7yAmre%|9THM6fIvdYP`4)Xt|3CN2l2{<$67B&msNWVsqD-r-7u! z>ToYbzma`@{_@3^6|l`t<&s_VPS;|y>AjaJzjo(;PuJ9@C{k}VrBRkdHSUF~>Xb8- z2JEp8Iq8qXqejyXhoB(#`;Wr;4&x)vGCk_HQH8(ls!Y1jw#n>aR;e3>K>9P=BC#Su zh3M?kUc`1OGN47g1p&|e!<)*rnaRT2@*V@|efD2rTV0BzHS!qkYEVXIl=U2|4%!Af z4YX~4#J1h_z;Ud#sif$uTb@0viW=UZG9^KM^h-D;h}>PU?z3^S88_qfvz6EFSdi=_ zw`Br4rPtCO8$A6dS1WOhF0@=(r{DYqOOH%z zm`M9wzmzoT(_HjxplTxwH$$7KS{1XJlDL(B>J$_QB&=Ybh80U(mq;74m_c6*go^JE$C4qn-3fy|I|V{(AHktXvP(-yzFLld#P*(1Ec(iG+M^^1$x zKJHAHNYspxVz&Euxwz`sXaO4AIl5FOE;|m0XWJ;}`{xPiZVT+NPleJ~1oC2k!NhqU zX^Y8z7h%xeb&MfD5Cu@=YXwl4RqCqz9U&PEA-wu(kMK zXrmvFfq%is6e{v7#ir;?{ftLlWw&y(Ks4TvXY}{Ef$t(ntQC7=xA}?IU9F8!d-u62 zZe8G2gC>}h#zjOnRvm_GGPv4*P_S86YeV4Jw4rFcObY|Y8W5ao7Kpx%%u}UqoE^&r zxx-ohl+dmoW`?c8_lkdG)4z zYPY@U+WjsQ%LB|wjyKT2LUZQ=9U0dG>xPK=Kx~F!fdKI4WhtcG_PENhktkR=WF)G- zA%d+qJ7@mN%9vz_29-&F!;G%I&cKAL6W$(P*;q49*;T(E5Zn8YJu9e%foWg`8aKxn zH~5X85NljNFZg@QykMZ#c@YjZZk-pF8akNMM#iU$K>PH1=+YQWGf+jI$7{0h>WU&G ziQC!hE@D?o`m!k~rhH`Fm>KJ&ZG>nKF=vXb7r*q5DW45cz`}GEk== zkKS(@gSZ5Z!@tnNPkkZMUU1@!6D;IkIm)xqAkofzv93Z5wI9+rqV0KYm=p@<*_s^)G9^u+O}^R;t)%Z*&HPm{#tzTY{x) z$(PR&*1CJz|H-I-)R1JKx8A|w$?4v3sH#R2ewWe^VG<|x%dC2GX=SZ*J-Tx8<8^Q& z3dJf`WBVEinv8eVb-q{&6JtPJbSI$1WKettJi!#JU%zvTv1c{a*3<8p^8KtxFSBBT zq5PN7imv=2FinwuVlB>3^y>Kd0CQmAzkB^73@F1}7tdgSGE5QzCC#XQVke(6W# zs6dA@nWmJ2#`dE#A|052DGtLa07i~sO>^=^&C&K0FNNoG4jq9S)@UT{DuiJ?7hb2? zE%0gAZB0Laq~jHUmVZ}yA^tw2q^HTe6o*Yg5uIn18=Q!#$zVj&595@uj&4EkT%se% z)@|&gp4fU(XV<97Pw!_$rNUm;j0hWh6Dfc+>rh9aPmpIzeJLnJ3MuXjsEap}D z+%SXk+j5jlr<8%qs;r)WVyn<&H@OfId#DYGa+=?NGBl_;rS;`MvE}+e4Z@tfqs4R> znF-W7!~Z-O9ULFM#<>Z4)Iko*de8p+WB>HsKtb^4xC^s?aNIu=5c_xuunQo?0fW5C zbIcy>0wH}PlokREWn>UWN)v!V8S23(A){eHOP?Y?-k|EBOS%pLJ-4==i+ZzG zei7S$c@9&03pLTd&NonR68R}Ugoj=8fUQt;`J}HdAd*q0wmO|I!a()_0)X{IsAXxz~Fp;jD!GWcWwz?Hc5$lx|{G)>O@b6v;9ri&(< znj~amAoUyxM;+8C4^B@Q+xyfP$Y6o&Mm%Cdu$kFJ?Q{PxdghGyDl+p2;2&p58<{(; zPFHd_ZU$QzH*x)4Ops=ezNY&iDgTvs62-m=xB&fwqGU(TMZbmOA!>2WU4MMMu%>>*TU))Z z_~F$ln+ti(UL|*51y)I5a#%>pz~YxJD*iH9m`mOimq7mDu7-Ha34ieyL0s?no3rxa z0>&Slch%@-=WhL3BV7JOcyIAU8W| zOHkmX=|tI6KA71c7>}hXT1%nW+Sfj0e8Gox92_bLz;Wzq#Xw061hB(EIC>?2{g9O~ z@dD8+X6B=;qaD`5D4Rtdw(IP?DNCL&lgY>PJ;J4%CL_N~ESF<*<_G>)&6 z@>D@9M~1;{bqiqdgtju<&nw#FP=+_ILzP~KV7zcp#p&%$e@SW_8{gEdYhl2?^_cVLras^bY7rPrvj#Oreka>~hNqT3fDOQWW~xvq zKs~=6kz=V@8i|=iYI12nT{@vn5Ai zU>S#P|4!Rhf1k0`ysmpNehO*Bqs%3`+*HNx*8ku2 zRY7*=hrDd~=Q!q&BbU&+q)3Ng`;ZF(3kUNpnC>}2CJLL-NCm2Y5r@fDEo)WrU~)xN z-;EvB$0YVVYm&}_VU+fbO^s-omiN+f@KvD{{R&+=?#7~bwZsjo&ZY;+F3B!XSJ6dI z7UJ{j!cjP`^hL)ybD#;1m;(1)IX+b7cWvM|kr*PxGohS)Hsr&(R&C~qQ}u#Gc56CE zLPE?{Q~vFeyc7X{wawGL#ipPKNGnW}dRkMpKV?jG?hv_$e@pXJBVuw#MvEP*9=X`B z+38fbNzQ{Om-KQrkDI7Td#c%GYNT68D|UO-xm5oy?x#>rP84aI=Jy7v*Ko0g=x1z_ zb`u<;zWRA{93A%0-q??uvP|n4MpU&}%XYrsYq9G}L zernno0Hj|c`;psgZ3{>YmV@4)Q+&5hFdHPUzZ(!*2xLdLriByiI(n18+a7-pRA`=7 z*ZD-d3DVo{826ZIZvhZ)@AMCLf{~n41%sT8UbbL&3a2gaKpP=a+!GaF7r8958}y}4 zp{aRs_pJOVaU16=@?{kTQasp;1G5S=L3hF|PbRAQ`$axS-w=mKbpU9Zz{(Bl@N-Ji z;VmihDPx?%pWimVOZMjNjv%7Gj2K;-IO(yAr@9Dq; zDgNDQ_}4Gb#D%hLkf&)fIbO`}+Tc#0&9`|m+2WOJRHk4AR36x2GA{BxQYL_Yyys*q z`W6PJkE{&jL~{fvee^|&$(dX#EVy+qoXPMK^J(pcGZaFIKYmusagZeA1#R}dGY_dz zM6HMGljDuQ57SAO=-gO#jpiu=eMBr6{rfAE|J)oDMX>j%@{y2ECKI|RG+m@c*-dXy zlP}#BGz0M+YS|-9uU1u+FM1Z}VZJI;50c*6fcr4&r3XlFZ9u&4&4a_2HrQX%I}Zxp z*+6H>rIUEwAAiwRkxdL7HTP@aG?`>K@mZeF-e;JHJDZ4X@EwT>4?I5{)7wS9pM6Lt zZ(vC&5QU4jfY~rlmXE@G%4J=Y7{psX3gID4y$s``6-vTMSJ|q|n{mxheC%Iq8yZ|Y zSFV7Pql1bn01!<+cY9J=w#o(V8q)yBPfbPg1pA6C;(y5&&efbu77g`hAPY~mKY=XC zDh_4#G23^+CwzIPA8_b!OEiLtIfR4_J{)RWJLxj82vFk($!Di2m;onxgZco;&3+`9cn&vG<7 zAMZgy%*O9KF<(pE)k9&ao>VH{K~RdJMB)3=?ne*tBwt<5()IAGbD7Sl_6)Sn5^Bfr z)qfOW$yvY0Mjdtv!ZWGwF%B5EO6)E4X8L*sK4jGz@Tl8`ILAhY*H=EE?%g7}x@sxl zb2%;6#`9-mUV9!=ZhgL2b=!<4U!&Ve^g=1-)gfKE$SYk_9W1ch6w9pZ<1BS4I)CZK8XGk4bT*cew}2C z+QHE|2Yj9l*jcI^W!x$7@Nr}`vX0^y(HvzmwDe$x%C^9NUncs`H zgVWC6Yr(flr4!?W`rLoM9h{MI?SGJm9`z0dYPp->5}N|7jpwqM4~_0H_n8*@=U&dVR`c4BC?&a~Yd4ese9@8x`pa(QnLAShEKvSu)G7T*)QkxE%?` zu<@`;m#P3(JDAX>TqD8c840qH>&FnUJSWMoz+ynf1NjAu{7(5rwyMZ)-+!dZ1ZGZi z+w2L1QA3;_>H!5#DHo0bKd*-`<@i3Z$5pxCX}9~vnZf$fI3v!ahEPbBv3IwoV?eUC z1sYgTe67U#Jf=+x)JoJ5vVO&hZ@A3QqU!jalVE~;NTT3re!E^N)+)#7d9{vgiA9d6 zL3M~0HmU-jf(xP%)D$|TA%EH)Pf~{I&t^bn=>*7ymt3=aTWO07^teecmVEalXU6Yu z1hc6g7aAq3|KEdybTyfa3IYyn5862j358=IYA0|LvVlKdQP|_Dl5iLnN)aM0^YSdY z3@=8;hTyMbW8)sb9R6(5B={8cZzb@6ycTJfE><=ER`~LueqFamt$&k5sPeqYuC8a8 z?QG3ui_|1BS8EE0F|ZUQnr!nq%m6WAu!Vz(H4uF3ff1Yv7Us&;%4^m)W0c!YjoBEm zj!`?B-%uaH9`8Gx?{;~p%x>z=m%36GQ~pGI1vRrhU-D-|_q1O$G3(5~8g@*X4B)>F z+zW=6+#$r@yyZhdCx5)HVK6kmz#ypg^`o4ie=kO@JCNL>w&54Zo26gCk8`wsGtDa2 zZm3mgy{5KvZJ@D}^dGgkhrs3Y?3Xo#FPAyO2F@N>XlK^s3{Xj!8Q3|W<8(N~jK+*n zd#rs?8yG5Cs&$xtt8r>{E9p(wc|J*Iq|xbjm$Q8QKCFCM&41L_UD%nBkNek(*{||b%4-KQ6XGKC- ze)}e!E%|8ve}4!?krQ>td)9 zlpO(Zm?Q3P{0gBVV5Phut^(t&+d%^Hgg+DHo!PV)Lxdm&v&{G+OKVcPIaX1l!L(!5 zcuBnl{lVz{^uw6W5%Di^KT%aAsDk5Tmzj8RYt@4hvKrja5-)5=(D z()1(F;c+rEXuAm#ce5sS7Q!|vr!Y-Nx2?B-FlJn-f8skp2%AyZCDz5;NU_Gd7P;-0 zm1wkYTyn4L$-RC6xo_4dcRh)VNL9$h52|30P=&FTOrcV>6S-PU=&-_?l)jbV2Du7F z4v=QMf>y#+1#Q&psIWDYujLn9>r(1Ow=hPHz@^tg-AJz=IMYb=9M6Z0*5eF1OQJ5f z`9T+T>2;7c(yNfRQHpWn6eQSAC>j^)CY>KtL6=M$V?q z`L4A)tK@RjekHmzGA}7Tv`{K!N#9^KQMQ<^jImYs0hA@?PFagcgw!Wf_5o4@pBY>z0-ABj;2LE7i5;? zWp`0=Pp|`i=_cqf&a9IYPGGvui|iLnvNEGv6<~;jt+!2E24+9YZf0+d6M~2_D@$X+ z#y`Ff*jO;}4=1Ur)1Ftzoww1m=w7n12}R+C<5Va~LNA z-_>@TaV1_(mv8r?58Kas(cSiUJ<+FLp8;JT0)71osQqX%0K+poi#=}F7pqQdFVoaQU;{J5kt;`q&OPA zH@`JEQ`Y|{aQhU5ixPP+MW^%dhzk)UEFvfP z=8KT;Kwb!Qj?fo>>$ncqij{b%epXgbTCarNJQR`1bg;0N61wub2HQ*o?8MEN>;$eO z3N4>_@r^vn$f)RQA%|TRwm?urEjkz}?6q#0(=jShu$JQ1tMXL#!yo8E0@u5cG<&Hf zr#hl>wJ3EC=CIoUKhtp0I}sDZMyMxACEC(V?7Ym&?dLXs;~SY^;Vb8X4Y0mL0D;oI zj7{9{7d*+!P+9*1gf#K?IE>-t%ijC5*?=>N)gmId9ZB(#7Ib_qxYY~#QX2Q8G5A`( ziHpS*kj{Gew>%S*V#MhQcL%5EypEKe3t6|zR&KgPML%CWX>yYaN#oJ{8ZRrm&TrjI zGBIs=UPk|a7CpC{f-i%&*=NkSwH{NZL=V0oMmtA!FH;*@8N)?O>XSxoXE(TZgNF%) z;B6?>1m7=|&32UixFcdP$2VOD{H5jV_)5ogB=flx&@N(J}w}OZknNjj|#z37x-#o z?LL}&p;;lSr4QS#Ok@dfQ26PREzv2IM7^~(!*nXr2kH8~=z%k|qC=3r{wnV!J->MB zyoDMl-WCxCH=cgAxm(JU*?|Sr+&dXcxWM$LtBihBB0k>D%H=E(ci`2kO`)((ejBVe zKA~@a_7X(U4GDXrz(x=6P#7f3Hy+h`!<`_cU|IkN8#LdDt2(>*-onz`^czM%k7`P2 zT9|vIf=2##Gy1A!ugqwY+ZcVZuiJQgNW8uk61k?zBG0`6S7&~3&Na2Z(+M}dqBF<2 zGkin<*5?XEMMIssjmefNKLm$#dr|7ncRl5Q4NNRQnlE5XZmYNgK^7mb=$0xJYU-f1 znez+?#+;bYOh$MF0Agl4YBBYKWb4ShuMtb*9hBI31akx)i)2+hR~M9tA@DUk z>3Y1GBqTGa#<$7rJqXXDD|Aloq(_>YG}KS9FXf6s2BiS0VYqIH%L3Cyo%F)*p{Zhj z8X7cnp?+}+0RM2|MvX~HYb*)nB$Af&tI6uo}ZE}9$ zCC1dmj$4@0j(k4bnY^h#oLY<2`E=k znuP(pjeI zzDRYJ3a0_L)aG37hxIGhwR#oGO5Y3H*fpewU%dx*Vy4jUPaxYYfe_96O?n#n-@LuYzzF9#U>zhv7;y%k;GyrDwlHr z({TZQkxd8r)6A%2fl~NAUHeOaxi*LAo!6&*Ou~-Iexg4_FG(Cm^5ai$24{QlRb5Vx zRb5yZiH~@z5~_6K_I0zl;&sJMaDPE6{gTNkFA)$X^uS;SsYWy4+(6e;Xt;R~-%fML z`*)c&Ns;HLPHG^cZBq_l+V)?>C0D2QncoXch0w71q8U+gQ?r;~lOmpf*}np+tmJzW zYmlK%+_kw!@sr79wRV8eIvRUVz%T~!;GaFxcxr`?)}Ks!xp^mp#!hWyIC;&yeGIN) zWUsok?`E`(Qi&-6YBIhc&}MPrUY*+RRRJ1ULIBy+e=BM}&v0JInz~2@yz&&MLUKH| z3T+}eS-jS0aW1TbE^jk`+O0MFU-ga+=!$H`Ry@B@z&m;HLhjHp=xU=X&u3L8!<%IS zz4a75%vF5`W!+M>wO%#Xx{fOA*4d`V;s&X%hNNCnj@`W_T@Av&y0i2JD&cfKcLEOe zNUW9(tR4V%rjL`O&K}O7mGQtFb&?dGod%2A-R@3fs4XhLDLq53S)G1yH<(JP9Yl^mLgN7kpqN(8nHZf!f751L@;5 z#QugknT}o`>^-n%@}>l9zRqvO%90jt&DOV&Dc@QzUCtMOte8&VI2H0cF=TYgB*bVB z7;bmUYeJYKnwZpyHBuF=2g~Wk@SkM*5KqmxvQ@@b>6`;vuwxMrLbyTcgLiqIb7oFNGCRpgooh)=4Z*pbG=v{pymQbW4);Wp zWrEmEpQ*`HECU(cNEdnEo>-ZP42rm`mj)tHbzX%r3C>dcQTRvKpxZNBCQ?Q&2_w>M zC4SYQ;|a?y24c@JI>pWWBM753H0lR_+Aw&ba#DnUsgd$lYVqDxD9g{dIiVWp?Kspb zo{!mbD5VJK2)w`jUIT}~Y`GIUQ|Ej|D~#|<5n_{hHjKL0iQ4&*;EZd=>Frt*9N7|Q zs<0%ep#9Yt7;~IlRzlFnY)A0CsP!(f->6MfrmVFo9&z5SLDYEvJiCy%s@f15VyH$N z!kDsuKk#oaIh96I>o`&qBpmrxp?Yq5)^k63R|%{+u*_<__r4tcVmR}m^ZMxJ+AoM0IGF$|LUpTbP89; zGh+n;)2P(&l600aj21Pxhpz(m1+1f2J)MDnnwY1NaVE7~!;biDa^bpVq@bOI`jJ8K zdVx^3e2GYAcWy)_f$ETL7>Z9MZ)g|CjknaH-kx}kmhF~x)K`3w_NP8^D?adP&MkGi zRJ>N_7PrjPl;R^D1KW~^(!@(X%(SHrS1FQM4cjt~>By?3xoCt@%NocCld+rPCEG`T zGU!3P6vm5EH+mcQ+-IyF`&|~~HnE`5z3A8`^Nv5+tE~mqh3Zym)$>i|c}T2sa$uT@ z(TD}g8l%@#Tn?*MRt#=aIrkPsHTF$~h$+Nxr+X!bG!+-DV|S5apvF#OD7%r&Uo{bS z$a5h|1q0UXsOuV$J*|S0NrPmn8}6Ndy7JYsy<1)*nA&2jI!}M zSWHk0#|h#t7VF?JL2VpGeuWo6y-JEU6dKaPFdU;k(q3M{*`+hQJ+r@nsZKY4zP8}7 zp>0gdZ1HLxp{N0^@@LmYetDU;HA8~gWwZHOT&dt>X=&9S9PiTgczT}%XWVe_q<`8! z8yp|Odh;EXP)>W$DRF)6q3q)9TZZh10FdRNaf%cG} z(8+hp;T}8|I#~w77zG8P4UWkSv#3v&!mbno+Sxe_?ukH2%kA4a$j7{YyoGn0n*8SY zhe4)Eac6CM9Pm4A_z;>hNs5W%6Pbxh?P8J=CV}U_hmAF1yAWlsd zQVWptLQFe1i-{iSa$ID~YU`tD;eGs(?tlLeiE;a*{B_@Hq-+8#jdySdsB0c~?3!(i z+GUeDjV*^T{)O*&d?+`6;UDS>E1Fkkh43Z}8S1bKN^U*-2xab{F-MlqRPR0G-itrX zXPq@z87gRJUoP4n{cEV+gx%XSTtAB0MHP-;#`vQ5S+y3%GlunWthK8JJ%D~OqN3|; zREcl$VgqM)8^1DfCn?M=L2-{ny5})cy{=CCp-ZBc;iQVinDR6N;gRymuQ-|ZM-LBBt+{EnRhBZYrGSLwI6${%~F6s4Zu{V0M~Mx z$?qd&_aoAq|N7a@eV_$)lC0?O#DXrm-=#pWCQ*Rfttoq2EyH04>Bn7M4Lv%?@&UfXkddU?xa_ca)tKP zd*0S+k;aD1#bMby5x{u{BbTop7$CL!>cbFs_TvrPJDVBrMW}#h^ajJj5HYi_;QJ1C zN=1h5Gx+zpWFCDYh(|vlgF2hCk!nq~LE~EwcDL+*t&3;yZ_)Nze|&@by?6AhzLTN1 z)jAeMIyJ@D>i081%8I8Z>5|1AL@4fG=wEff^uei7OKBQ>2xgmHP3>2bY4TQ{O|@$t zJLzbWY3E!sRjPur-isE0NvOqhZW%UWJhx(~zuYb>AkcZ3tr5erAWnG!&NgOepx`z) zWe)Lw)!A_}gJUN*tY1+zEk)jM7au5xg#oR<AA$4lN$F+Vpnj%fWz}93f`T6YE57JG3>eN#RhMy)Z4}4M)LBf^OMQ( z+0m6GL!nZwSaZkSgZRZ%+&3YSi#J4_%8ik@Lb75Ks5wGuiizee$}Ix4hx>q0!D7H~ z3fX6?B1aZ8eGq%pshY`$(*$khtGPO**ytv>XGS8qK= zlJ7W`8H$jCFG3;BlzMw{FTmBQp>^n_rUwE!d-I*bJl>c?CQ!<7^TZl$hLZsTT^X*d z0=P=7cGY60!?A|q-sYKdY(YX$iX5zawg0^RUPoB=fXp2(fPpjAQ{en?`ttPT?Zwrt zI4!-mv1$TNN0@i*Kt8rk3)VSEuZzv>`h;EkoX|H| ziiX=jFx@Qci`tvSV}`SR@1wx(Q_Z5%%oMS3NHR#{S|g@%OXUp@V}Gpkju=#IwE4tN!l*)16ZUo3rB21FSgKZ&{C@$7w2-*jE*Q zXI10=tMQ$c{$YA=EX?1C=e81$ug{lhvQl>e8`7^zN#2`_kd{XEh3cDB%vcSjgV#a4 z*`68WF-qAcbn9g6d!Wyz%$*F1%1iVly!561s35`z#tUk=DBTN9S5CZj7@-v6*e;CK zhK>_9=?_@Q?3bJs(YmhN4HeX1pS*d0cfoLwn<{A%D~A?gjRXI2*3$J2{^EPlbPIk| z|Cu^3*=EY7rhsu(!v_kE1GZ90cSdaxEzZ`iMQ_^c+j;>`oy&iCMO#@1pRH^ct3^O# zPtW8zrp&9qIXga?AYZ&Vd~0@Z=PI?ilY=*el#wzDHz}0Fb*yVEW0WsTpRh20UL?YH zfo=9p_WCl$`UO}@V^W4CW-Yu8qJy@LuA(fupHwhLsgPeIpph_=2>~LvgBH)_kLgXx zDYK~kDgLL0^TyRfmW=7y^xAGOwL85vFAF#Hj@mN^(_ za`G*6$A96(cBPLpr)!es4nqllvKY?HpW+(3|Gg?YBlcVE8V@=!F=BHE*0%T;WGvO! z_`{*M@JFH*bM9})AT?`pwjC`6y5YkPoh;a1l12-mWfpt$DttaOckeV5Qf+F07fI29&P)v9RJETlC2<@~O7&X5e-$egLiv;9hN!I`KC6Ba)g`8^qhTl#0b#rN#4Ys$=Q!}m+F)_EWL>^AUFIY92HAdWjFq=F0FSUn! zkyN*{CvW1U8OFECjgT!hHX8my6uN>iIkW4cH}D!4KP4vxP5Uo_u+7-TW>wXX3fB-* z3Ldb9qg+iD>E4;xM-@nTXVz6f`k@9>c{7mP=|$Bnf+<~BzOiRR)E+L|2Jp)!V(TPm zhMO<63X$!{vIzYEUy?h2Jqq~b_4(ybFkw48JKz5P!z%J_gEYg~sGWARwF6>xmS7In zgPnJmFQ1Qge*Yg&zWp~iPUEo}gG%=A2&Q-b?!~LqBX8&V-rgCUGS7-P3-*pLk3IJH zF%P5i0QBD8$(tQ-XHHkb&3QiG0muqKKqb!h;lsfWzKF2Dw}-cX=gM0MomQ*0$C~f( z+H5gbHegkD2=#D&uoJA-`91qH3Kr0|#s0{XwfvpD59D9WCqVv5H{|%V(ZNm*Y|TF6 zvNpfnh50pQf0_E}!Oj8~&(7X|0NH68zD8bujlBLEdHoL>d0iPTU25K*DaQ?Wm^Gbo zTs{tu3XU#~3opihs6|ODdz-R((?dtvZmGPWLPw7uVSjAxHY)4<^ng0TCAi<(R#SQa z>s8G?HRrjt%;wCY8`O={OVzttrPO4RqOqFeYHoD4!WRzQjMkE_RqMX&cY#I>Vsf z@0=bstJhv40CE)uE$hV3?qB<}Gyw&qX3V+T=sd|T!k@9pTToE^co~&|0V978vKvqe zm|w$$|7AgBU1!iWn}a&9Xu-iwh(_dl#SHTb2sd1jL{4G*t$bAQ<|BB?l%V2lR3YIW z%wCV`kqPbM7*`VIUD6qIOE=#5@zg-9dZ*KGc;Y`6W61%QhG?7hlz?oF#~K=oRrbSI z0djuBJ3qU)IQ^p~y$U`{R%?IQKw@EZk&xVR;5L6~;_HztVyrJao5t+!0eK9HJqR|q zNxs4+lk+AXMe#2-Fgm6vRA5*m@H>%rz+CN`%+Mpl5biEo&>GeR0B_Lj?V1Dx2sdG* zw0~k^qfTB6_CeaW_BE12D^WfK7UfTz zs=TsJ)|<6)g`)Bb*M+ucOgKkCubKVLem5>`uq&Qwlb*OpSY7dhl5YIwxA9C9AO+5S zzk-)v&f9hdfIy3@d2#Ts*E~wBY(wKnl^Eyfij>?I5Oja`&N zB`;6BX}~Yhf_YPg;^Uzlf7hWf1~CCOt^V0Hhv&6`2So;+hIfDT-O17Shc8~8pmi6t zq{HLWGx`R9cN*T|yG!|J&jJae$v|eEWFh6axw{V1z!=*d2s`)aw1dy~#g0VA1b&~t z)D_PaeGL?A{~-&3iv-!`fy-Lyeai|eZW%PazYv?yH?Ly`-0Gc3`H0;}qqtM+CC!9U zSnRKDw%GrQZklhQxv|DyCm!;fs-cjfMXj3;#71-qG*ZSa?zAYb?C?WwG$$$o6*( zh{vt+K|%5CW#+Ge@xSVeMELEWCOwnRnx(0kzAzu$)~tVGijId?7@l9O1lso8X(qVu zl$-%JyjU3Q%b=0Lw&KvCN$!e+uy(kR&L|ak3Nr580#ckI+(xMKc<>5P;y9w)&$L>0 z+_q}hWWBgCc|vHgu`^(GWm}UD5I-nI(gTA2E9Py#P>A&_z*Uf6Cv)>GMEEV1^dbza zVK`7|CK#0=5LzATC^NsLg{mP^je`TO94;uN>lcZi&dt2^_I9d6Z8N ze@Ru*a)3DB&eK3Dmn8I!keHsZ7W1q_k`SEvx*-x696g|UkoaJMrIaQ-h;B958ZvL) z4>h5GE=OmtTJ`O-wr@w*Gi5NhBji^3-I}!s&C^-woKjommUv8B*Lf{7>7iOXWviG7 z*O`7bbe127m#U8IB!84@yJhuE6z9Gg^^fLm>mK09@#=;}VRR_jc#YK%&3@H!P98{d zl1?8rKbx8prnAo&vTEyHo28vVPcn{3%*8M=d9ToaD-ao3# zn0PY!^+0vO0BTRbpZcIX@e@^hX!$Y*u4?H*RW}-Jpe*3H^eQOXdNW(Qf*tbbej)S9 zAVya3$( zUY+?6YSXge2`54NVC^Ds`x;>|Z3f|wlD%9mZhiQU6^$js8rZ31p=1$(IQjAUZJt-1 z2(DbKg6N$?wS1?GvOuR|8){ttuq4sIG5SPBlJ=y$(4CMbf6*sFDR>)R(Jt#SE)Rxv zsjhTTk+JHAsR0x%>OC|qceHduuZDc-`l$zjqI#&Tj}OKu5_u zX@M&KjB|tNnKps7mI62UTrRiqQwT^!O&1t&ozbOSQpb{+G4t^qY4-km@v&nD`9PruBA^;Z*N z8vWj8B|i3VPozsUxwO5n$Yb7v&yUIIodVKu@@UMG%KB|dSHQ;ez#p`9uP!g2#4-gg zxFxK_{%ib`LeBZ=Xs2U=fHI-lg#^6qHAiy|Mt@vk2M4p7hoYoE%p9F*9>*A)@Fr=p9 z7czfnmQrR$H+n)V+x?2G0?ahBy)omtiqvO6I7?}vwmrogc&kw&?Cdx0gY!`FY-a%5 z!RSrsfFbZi3xXo}wr+9uBB)RQxuFfU1-8UrVvADgI*`VarmeS=@d@3j(iqA~rBP%H zooR`HZV7Qc$zbp)kKVq30S=1~$3!M({`lprgLeD!n+=U%t(WQD7Iy4#Y)S`#3{)k? z<8ZMosTBj-Z_8!B7eSaclfsr2Gh@C9LpavK2Qio3NmM3_`Y{%g$`SrwF2JE`VFTV@ zCxUN>iXc+R{-X5KeIGta6etuC-@3a)z*_#-xZnM5@NM zc5le}ggEVrH_Iv5)gZ93n#>JfH2PSx(^UEg96e5-|K770$Ugu25X{@29m*g8o%z4f_~cy0Y4Hr>$Zsj_AEpM@JM9%jUr zuRU$iL~v)Uc2IDSqRIpv){TT?RXe9-j+W7s4_xEI$2N}UJIjT2o6EB?1zK6}%+2L+ z((#jpWQ;45RuGA%zQYbnv3n7$l=LNJ4PeMCgJz=I+}2SE+o(-;WJ`3NA7Ae7OwlyM z9}B+`>xyrE>)D@yrJ|n&$D~{Y>(@3IL95z z8U3iR^LP8W5e*|WlREVAGE|dxVi^hQJYMunetKeTv|?zeh=h7|Ld6I~~jh#_B#eDEk$Tbq;0CF$7j8`tevL8{GCB3|oWyO^5z ziTknA2mgoFf_bol57GHK3p3A;1WXL$Red0iAiOl_;~=33 z2Plzl3AMg-?MrjNeVI>Cpwr@L>|TaUaEV&)o#}2n%%Q`d-^Sw#DcgJDcJ<1+GNF6B zPHbxz@>MFf3FU}>;6B-w#4&`7{LM5UsmJgDp>%>pRdG|zzI&Gu($8}S1yF^tdv#xf z>`;Xz1 zfmlNtmtQ06v0ml5*>?MZ2DANASOr6gg0rKo{!4;zlk&6{@BLw?Tg!LkHRG7p{$wMK zB@qn7$=Q@aQjyjhdtG!(?#7=M%o|L|SF&nyHOxDXt&%QX6aMD%rK;wO>)HOfsZP?W z>$$S38`_rD_$Da6iLgs`)DX0{tl_lSXbeHZVX2+@X<< zzou3hTftBdL9luBp4Z%94R?0&4?>DloAn1i|Hc-2GWT+fUTk-fl@_zjS<4AS*gr=( zkiboq0{P%guYIHee&Jw>J|+Z$*u=h#E%=+bnh3AJexdrw{XUtm<4n=iPO_MwSwQO@ zYTrREwtu~6wNypb-xKTZi?kw5hNPDvCyaAZL(FB0Ju4ka`-btt7Q`|rRm1681yF>t zVI8S%yImw)9UGt(hBKOVcOcz5CpKn~Gs=A!KLP{}mv(>ad2w-|scU{b=Mh%OyJx%b z-Uto~!{<%FySG->j>n!5(ky|OVFN6nc;za_xQ;dsk0mUrff}RgEQ(Qge{mvrFkVX~ zpLEZFAGk^m?PO6i!^;+OpGB%4;C*5s+|~K#^HZ4%HvRnjYvByOhqilnn_T3lOKg*a zKOBNiGbecy2)?*F^Ca7C!WuU!b7xQ2B^s;DeR30=`^+4-tN&oye0a+elJX`F%*PLy z3q8nwt>duZCF6!p-SDlNvMD;_;xbqyeq&KKU5RqemgI$(&TVSX@?omB-7}bqH|ms&98AzB z!y#Nps_gBdm7s!Mx<-2>l8M=D+O-=C*HWl$CYH3@-zP`M5cbO%1;M?NdIHn10;|Z0 z%Xd#laBA8_kn6Dv(nYCv>_1f1(2{6WoWGa4PfqMDNb`iP2&jm@9ShX}HS+95ntcih zT=`tXgsE6%ThvJ_1V|gvn|+c43+>RdFz_V^KYB>$A4#kYloLdcnc_!KpKKy%*A58V z$#$wnvpVOnjQL$LbwDd`D z#3-r~gcD@V4t&2PCvX~r$odDqUz1-G$QQ~f2H5>hB_RyVVrW!Q?;zdz5HBLR^~Ed# zL7gU-s9moWWqc%4X~w@7SnE3!csgDozJj`bkQM!-cYalyl#ck@S60~<34|Ahn?2M@ znlNtNYL(>J^aPk-*cWhV*t0kk&KAXLZ9Ms{XXt&y4NGQa_!Bq@7~KW^rn+>4=U4E~ zm(;>YD@o<~zGNhRgnQy~!YZQ}_y)cG#6a+M`$T9I!0@PkdSQnmE01<@+c19h(n`yZ zruv%P)$e<6xjtFXA>hicK-x_VBR8fv$^JmYY*k1kN53X$7MdlGZ$I#*Cngo46P-R1 z7)uhIzeK^jdY)Mdy5fN4dd#RsF}*IwZHi1f(ExL`j?-}K&l5eDLC2?uTK<$FUagRO zbhClyn}11>{FKJG9k#WSwtP?MsWqPuz0$_s`*gYTR0|m<+P@XdDaEneR-3xQH?ko7 zX7`9?dVyCV-zVYqI=z5@=>hdhQgItDV9X`h;Ef zU~9Mi)iLA#@3fxSTh*+EIU&tk4GoX%0Wypx6BR0(PX!iFJf8M7ez;s^q*}9j*#nQm z2Z$7#qw_Xs;f-XtW}o=BYDwvP2T?|4Ixvo>a4Q*h3n|vO4xJ0d9E^{+gKG#LrJMfJ zb`WXlEaaSQV;E>RZXyiyOA}!i#bvD-FF(Q$@c|O#W%`i}I3@u=f%cimv#zG*gayt) z0K5bb-Wx69V~@58A~kQV84SKb&qCRW>={3)6@!~x;#RgYLq}!#Z^e3ZydR?y(jzY_=9xrrkL&zG~wSdpE0;6u9?vFKnehbMAcJVcsC%bawMa z=M}q3qR5!oOqqJYE~ruMvB}?sKeo%;!4mONZ^_oCyWWqTU47K#O$Z%<6=*#3 z(N!FM_c^|M9A#?0-eV(W{-!GU$%elVonVOwKZaMj6F9X+F00rg@kvvzL&biM>`fWG z2#n1;gS(&ux!*oNZgd-f*0e)Djt(#9d@ zT=;{cl(EXVoUgd74@{lW{475Ymv50FQNOPkxATm4iBoC0@h`DXvi$kCwz#QGy~43$ z2>O;9HOdK#(nLpMV?}d=@{=D|R#%yb#0p)Tr+ZtnOX%+ugB_eQwNxz3gUCW0WTnj{Y~vN!Q*xDqh{;dstJTY-ITTJ7f#ZDB1`9@WvR#;=TuXO2niPm{@I( z-8?(4$9{ZZCRvF0tKdk@GLI=>9QMbw$|Q=?8~t2+Z=P828Ad!NH;IhiFk5o73@8|2 zic{*skTTn*MBUzPRb>%vHYCAF>VAsQQ0Dk*O1I_4M>8B1`kXvgLQN#H#DFAm;n^7q zF$_Z(E%m3M-l2<>_Z>(DbR+~}xR-M9-oCh6$JoG}7ZT%;fR?Vx+XcKWp zveO%KWh3tj2gNFC!qnNGaZJ8j=u`UBWsDK2hP~B<5C56n{WDLu;W$=CvmLj0B(s>fofL0E=)Pcz~2QDRtNo z#`3lt3G`@PU?cW>y7W-HA=zZ=J7t1wmu^72zLY)xXJT7;rgVxdca*}dZYZI-5$;C{ za1#Gjr%pq92#%((Th~bIJjbC3(jgv>f_IPtfxt0bh78~UKhF{7qbQ%+`tF;QZh4oM z;*wW(8%T?hWaZ8q9+}Y6DFu6{v3O*Wfqfr8zpY#FUG&GiLkQ44jODA+-FAq%rBr0^ zPkgM{#z6Dxy@`2jf#m4bA12U+%NN~imqWMjekgfb`l)G>5r{ zSAE9XDtA2}916Q=DN9`~YENWTEo@)g9b8+V9ueVHCn7GLVV$D}%}x;Ck$v!TD^bq0 z>=(ZnsbTamrC#A>`lmGfdTcX%Eeb^+{!aP*4oL{K>fL4dMWJ`LL|1=5rpL&9`$<{s z4qImVfWt+6-8rxi9?5j^CA>}C=R-91XGoM?QG@6(7<}pR5HvS|Gie{+b`@YeRJ+~U zACy!qgC~^r>`<_})$cK9cYYyjyh2{Ix;I)2Wxhh zwu?X)UBu|DNmXx<(AA%BQ_5#b7O{qfJDn=b7fjgsaJ$3IklHPu$t%$q!+D(+kmPD*0^k4PAtwOB9CK6E zKYOOUNkf7_7U6ze0QWP2{8V)l6;Y&xClJU1J_PbO|1)v}GvR|=0L?S0$4%}Z=pWh= zArOT|D1_ucTOg3!z*0Dj8<2q_DBzmhfGD(s3Lei5C_o|4{^3*j4L87s#6<(ZKRpMi z;1%2eK3ty%AV*4|`(M{H_#HQZ3*UcqL1`G^?L5FMU>)AZ1CVh!akzQ8sVM?bLL|b+ z6VV|5;y_qgT%rLGNH`P%A^NX4ikRV=ynv+m8VdkXwX(7`w|t@CZ05@0W$ym}Ni>RZ z+ZZ27P##JCI~jX6_$V(x|L@AKc>zjj4?CQc@Bh}~e2-rQ&p7}%^$UO$?!gD(qQrAN Hl0g0k=)KVd delta 39197 zcmY&;Q;aSQu;lQJZQHhO+qTcx_-4-7wr$(CZQHi?zc-s~HmRiE`k~TEb*iiS94zV* z3|3JF6buyz2nZ6Wyr3R70vM#cpfL>?7W99(1Pl}Yf4C2szy>b9AYExUkAf)^6bNXq z@yd4y1Q0pEXrR*qT z%-X{o8`*{jrycRb6!H&-kXaznY;qxrsX1%v28ezI(3ji(c}*BFmNFIsiZMQt7aIJr z>riV^+pzge@&c#a`t77f2GBV7R<*^?(wpVc6D>jP`0wja+aEF@jKYF&rxK>g-2Gkp zq3PE}I{*K%u8Z`4@ryFgf0;Qf@c*6gUu22r>}Re*kjubg{Jm>tN(! z$zW$>^_Skkvsv@fev=*X_onxsn1G_SF4>K~pnu+EM-x<>xzItrPNZ-i*@n49c#R~N z9LTHvE?zkV*`!pc-5-$k*SCB9E}rA_#BXJcPnP)GM;|%$R`c?QTXB;3YE>ghiw|~y ziBvx1Vof2H5`S|ejx;@5Gm?C$?zGJ=;S@F+wXO z?}};gxaBcQL}^HzG#rb*HRMd>iO9}uEbnAiWmy@P`!BO$%$Ey>!fAG|M!W>WK^u2Y z22~f$hfcNpm=0LPQ+hCl5gQzu<(bTXbS+@xE=0r}R+I(=GN%;y$I?~3XV4d*FMyw$ zk00u#~i%_B=nNj^dn7mZOs{9|Fma#1Jem81 zyC!Yx5R>Dd&>DGckjSq5C-_yD0h1zv{26eKMX??w({$p8g2c%L;vba`#Pl*&l$eGP zN0A4(T5(kK?%9H&XeDbYZ16_IJ$!AWJ@QYR5j@MuDO`S<(?VGOmNo-mO)l=%&IE+r zS8vxrX3~qIwQitid$R2Wm(HG>)9mc#K^!j?F+~t#^P3XOsq$ku5D@TJ7?Hz6I)@0i zSY}Au(U6;kdaz)p0&};*Ee!kQaJH)bP2|lO)c-EDeOsihp;=)H=Pga@n{Hk zxK*I7MRA*DR*Coapt1JvxK*h$x(cE@|wY~lNnZI`dPqNar*+wb-m$M>}@4Mw%f!O27LBF7ZM;Up_ zbcXV=3xD7tFz9DV#(y;zE|N*R)mniiG21{8>Ax5Hk-U836Y~PNh!aCMH{B#$MMBex z=fFnAYO{X=)jy)UM{tU3I77I@Z%Zo)vn=mkC8Jc-W(<$MfAjgdiDxN_E>5Rosi7bc zDEcc2f0M)j4QHn{cFE^Hk>cUL?$5jWP+QMB z;#?XYdoQ#F$C7n7ZA@*wZ->x{xrjwZ?@zgE%SuTOm`2~2i#iEta-rt!7v?27arf*{ zo421gKC4dOWLkAv7Ypt=m^&Enj=}p4&TMFscrzxx4<0~#2H6~i0KUhP3O%|^;88C1 z!w3yt=a)OIxXi$aOn~z=0AR&Q&)xT|Z{#&q_OikMIdzfzhYE8;&oONPvyb!3m_t$k z-Zf|=6*c#A`loiZ51MZWOCOj+iK=Y4r!zcK z6U}NxsNglD8E8jG3C+dL@&1jRBE)?+Fbu_WbQ&r{MGOth}vTo~bgU z+=(FC96br9JJlPntF^nf2UDtsXaK*aVVA94-}tqO`t$1^AlF9ed>MuVU9Vc#{P3Y>L$n+v zh0N2{cJZ&yZ-6eo*>+CJrJxOG{c5nnpqsQQu{wP!a1%NQNT%A&q_Db4`o#YK`T*Mh znr6Dv-QU-_x+EZ=rfv`*44_m7CSWYURrk5qp?Cu2hk-)CA(yY@I_=UyaVJ|LR%4Uu zc}okDT8oXT?-wC*(wrDFE0DFL-nM&ttV8a8*IG2$t1M8Gs#)i0llg`iP<(uRdVD<6 zfAsqxki#%}@Giy<$eF1v9M*G9p6%7w`AoHRX5p4XU+3JAuU)k234>2iiU*`BvB$nN zMiTR7$TlcJ8$y2lik50@YKtzbVFT2xm}ugmeLS4s=)DqW7KzivM<*k#*#D_VEqJDjL(N6n zPgJ_#>M;`2Hbknzf}zd-g-=VQo;>ZQoZj6ofuK?bbTCIn+|)RHV~Al0YYlJCeM^FjAYeLIXC6HRstyb$M|jW_uLm6%o|<0LC7t)~Z0_>- zA}mRCdY6Xs1PV2IyC6Ro9{!A<@2qrw|46xf-5kAH)}ctu6N(Ke56sPVqF@u9^*L&j ztv8|4`5~BSgLp1Fx&V@OOBq`VSv&t6Bv>Xf+-!tK*O4yz_({Q$sYe!cOR>&Y>Z^h{ z{*rs%oScyNWHB6ewfXQLFC0GtAcXTooD7#Di)4F0#rdNrY~E2uc&Zz;sB;+>t>cr5 z?=_2ClYP3RCn~MnhzNf5Pn_SqGYs_2A7$RtXi#DH{+#8f`vB-w_55kNmk}nZGb>7c zo`}?zQ;L7;x-?PWDVIr8+%GJWXV5tXmLJvP$xQ;O8;jNAqZT4fpM-ke*bWD55{YyB z5#>tHAw4^y!1|PcAL;SzEea z5IELi(keN=D+RR4z0R4?z-y0FLw0QS?h1IniZMXmF$Gg_Y|45q?mN-CiaS&AXw-#& zBA^x(<*+sKOZ|D)DF;WOcHx|uj`VZ-z=r3qZ7Cn_CGX@DP58s6{_;L7E^|Har>eYsS>Lm7K#uQ_@2x z1vyF!a!yT#(|;|Ndjz0#V%UL>-LSTcv%ete0*b=Xu}8sWqYFAdiPGuwkBpdQMxS^T z5mQc8n_o~XH>OMKl5Wh;U2y#=m{9DC7thg~&@)`US{3m+ogI8WdN97{b^#FG-W`vN zRtT#dpqhsuWpM^-(|vnO;`atV@XaWvf>CT3?=F|rz@FOY_<`Wfzb8e2FSoZ3)6(Bo zK*s^6J=p#C`x!OE6!{Z`h-S0GhfXOE1rZ4BℜHlLx^PjIIo5-=gSbYi^hgw!hpI z1YxcQ__UC{C*+mTj&QSN5*-Mtxb zMF;KEAAARF{-!BHG#NLMekWB^7_nV{Ku(xRi^@;X{R@L~7~p0^+$D1C2mOzxr^0;kB&TstF2T)IBetXO#n7@Nur4ZFWh*=En{!IYD6ULml2OkSxhpobD?>wIzA)39FByUF zMf1Af@tI-xf$tVAmb$%gn}bXPl$u@%YWUj?UITwx@pnfyKxz4zK1Bt?NmuY+;ATHJ zH#dJ5a)=~te5(lVzN>IhNt6xMq|P^bp+pI0pyexEY|sVrV3_y$w{ z4I>YmJhi*s{SU1S3^P4HUgEPfsXIJde9FNF(|1g&=pp1d{R>Rv^z8)f*%w-u8%um^ zBsLbzhwf`!L2zD@DPYbP1b!uA?wmt^@!%a{iPv~RlA+`w797eN&zQnlDeD8l`jmudIsF=@g58Dh}!~x$g znY})*tGzM3QoZjV%O1>ruJ_aKbKZxhPLB5nosJfE*Qia3O>rEBsSK7+2%iwFABOC1 zD{ikZ?q|~umuC*{w{U)MZ12zOqo0`7oRq!2jgi3yRd(071748;j}`fsovz{3+Le`- zk53&YikBZqYS{fK(=t=)9@OgQ_i-6B63z;>m#vF;(85|DTCe{3CCJ*%YPJU>QKNk|ho&`Af zn^Q#5R&6z2_fKz#4$tQ&r(qycMWF-+;C9YN8~N!IWv(Bf{CU{-uDxJ^?fBeHV{;}} zk#+A}H^U0M^Ad|?;IQ^*wKcHPH2yDDWp`nuXYrm9upSD6xT++Q95>zL#oh_UQ5HvC zmabVLNy0ZCIr#E*0PvvPWtsg=X$&F@8@teyTOU%Cxw2KGcG+8~gvh;3R~ zoL^0JiIf&V-0i&Pj;ZRASwhU};4pDWY%!Og1RojrOUp2X!$3e0f_m|Q+h*Q>XWH3F z@hXbNw82H%Yp$1c*N^4Li`nxz72~k?>v_2{6@c~oZuYV54#@dBKBg3Fr_A`^mY|4U z6t!HsEKIaR*4&bP9*f59JKEkg5_*+FN5B{M;Of zJzN{;f4|oj+W%&Sq5C_g)nlN$7TKBhOndJTM6TV=zP7sK%!M&XIFQF9wF#{ z2rB#o4}=@s|Cupj*x1GCLvDZm>+iLPFjm0+FuTTfZuvh${T^2Z0N=(B(`O8Y_7V)g z%z%%_$nn)ef!H2AgRk|=j33ma4WQ-CWgf}Y`%Wvtj=R|JL=ii0|se!e)XG z&`KKCjM;gjH&AmRMgdhaiz}KI@HUjLT06eI!pBX3hfCXGWUT(vA6s`#wq=BOKLT}b zmF^J%ABx`I9j6arv|#c}6qmahm;h>&bp=%=C0S(Bby=^K^|=F5G3mtOc4Gpfg=~12S|@ zS3@phtbf%M`)VU{6cQ1%yI}}{+IJ0H)=PQV>y6|){_FWXz45XWzECG$Y<_kXP$34`@T`2J@6NK!|C1b$fM_|ASr9H9?NnhY8>9apz|L zs;bIb5mEk#Ro8`TAoTho(8C-tlMs(^$A%<=BYf_oRfC6bcMFT)2EW^VlgJDszR8vb ziIZ0nU-68~T6-*}JO&VA0yiu~Dl0b87@G9#ampYUYv%8+oheU`Js0jS5f@uM5UepIbWU?w=z%J~K zf50y6yhSOvoqvt|aQkzB06;ixy)9aQ|Ngp!@qmgfnT5BXr1lAn;Pi{U>B~2sz7bNt ze-3#3zKVH`w;>1~JZUkcG{Q+76ebL37xo&p^LMcOn|Xf>sxuQ5bCwS=eA0A!Seify zojHOf&`=c^Ly;T@#x#Qbj7Rcd==+U52vTubOo2!-bxhRIo$)c20$@Y~z5Bs$)(VDt zfWP5k2u>PdHXMU%C&8#A9w7C8z%f&QKYwNV;(C5|e;Ht9^)om>7p?qIfKyYH(qmHm z3AJb~dw-{e66D%9spEig<{h=C43R(<&=+l!2(zuQ0&vIbugKEgl&B*e;a)kpZFEWn z`p19__PFc8#ley?0gaKLE&s^O9Q^kYm=)-)khFDhN)s)?$ZeD1$4$Tn zm&Ce_K;3V>j&QMKFxSOhfQikVInoORXsPHY;kMc~d4sT53oU+Q5W2+s5{%H6DtpU7 zB(ZUabZ>J!UP+STOi94`ioCKTN>utJ(Q2Z~4RjN*bf9(h0JJ+gsc#c5Y^tgmFlcoy z7|!5yAMjqTkR}mwVxx#PhaxriB3`XfHms|GwIJjKDFN|hI=+)WATl4==3KNun%bJo z2tsoN(PL2mh9zJqv9L|&Mk0$X@s;5XS6-AbzD+anzC;_FFy=4imZj+{aLZJ+{w334 zhl(~qh=VhY1C)@mKp_*})3(&-g0btF{uGib8YT^CNWFi=_;@Qib(c`egLNiDPWklT zXfXCEwY~*F-~m;dfhtQJ9?ey0CRKqPO6T4Yb=VB2zlt&@zAe~ zsQv*vUwzQz9g%w9C{kUqH3AXTH-)hcbX76dZ=Vb+D`1nZIXur!n5tdLvJ$iR0y3~T z9)3Zi5D<5sx}TojIQ)gp+`JpxcvpFH)S&7Pne5j) z&jnfx0PNb)JR7t_+Z@?sRI{70lSEyI9oF8c=+qEIB(jn7;fID#GHG%pFgDb(M_5yP z4y{r_0$pv%mu#9M-I_;dvL$!gX8gX`WquGoG@DU<^3`+1y-rH(vE}SAYfA~>Q5ByH z(rni(X%xO>a;A63&cqoBQuCZ$m(|r-cKx4cz!$&Qi;`F3ZLSy|-zH~M(AoL^MG|@A zw3L6Hl5%v-(~FEd@z_UBfmTNWq_A-pjJqPk$HOE7hq#8P({$P`N^KN6(lqjrCNz1y z%e#&Np3US}W^yXVyPd``(r^{KiA-k*WV2T6Ft0zXqb5glSE^kAz2cQJz2Nux2?n4P z5S+c@qPvxzWRPe8Iw@%Zk1i05I|76VgKNB$$3&Qr2rZ5oR{-1b<~@35=y4=hh5184 z6As4>QpiKY{4tPq3vh4roic%4L;k|aL_)}l_Ko=#H++7i2ebA2k#WC`# z7rD7tUDi4=I-dPR8eXfeSMQH_CvpKO)_;(04^IJD4BAl()<_BB%7gr2qM%C$e1IHi zf#evCKeD{}(6`5)=B>S6iK(f}Ey`&pc(-kb%qwJypQ+IP;NgE)hcelpBQn~$InaaP zb7Azn9Yk72ws9h-kwlFtn@~^Ki5BQ09Lk;c;XGxoCHj3g$VT#f1Y63Yp0Vf-o2BKG zm@S}l+$F+qZ^(@inuO+C!q5Z*7{LWh)hzwIgiuOhX~-{$sTeH{RSE=y?Re+}RGDo> z?ogX%fey4;jr7C|z4fAFG1;_5mf%~4OZ>66wF}LXss9wsiRj7;o7BEx9dFZnFhKc#V#0|>nU;W9)L&5`_| ztLrduuptgKf$AU)*Vq$GF%4$tJemiYA)|qTOT+1sxTX)+6AMr0`&lo#f+X4g8y5H({dp88v_c_f! zBb|+(5}2v^yASABKl8wV3N`YpofM!M@db`NFbGz;vrh9-9a-`X4|3ocEMd#?b;yX5 z!Qi^|j#W$6fa_QSuQN|f@;R*#XThj`5FWUzV5<#2n6zYPD>?l}a&8QhnX}`t+{$8c z^`hr~Wlny>s*dbNX905NmI`nZOC{}V{iFmGMxS4Jo$zR6x}-}0EBrSbrXkM!^ZKN7 z!7|~~j&?sO|GJhO<)O$!qwc8awzLP6i!rDEj9@g|lO1pnfysA0MB4}9R)vw~wW!zxoV`}-BqiuSlr+gP}h%@8fc`vKxQ za5WU2?d|)380$hxM%W!hpOzb^R8VtLWSTV^auFgU&isq^%O(5pW$BQ^ktZ;^e;)6Y zl6v+R?RMUfz#;sizasF()mqVdhl+6lg~erk@kt1bU)9e%a>=ZWFkjwJ1;Jn!aI^Lc z@*1@zQxkd@F&xrlae5-~u9w(;WKBH@AQT`yyq95sQ~1tZbJXJy`S7%DgaNIgx;H|g zdxw7HYtf$(CXfd*FYj2-S3f5I68e|)*BDkC+f<2durK`O;MEgw$EHVz7lmN zWpR;Wa)Tj)_kI4K0bFajsAcEBwj!D}r{?kSv!~AoDK|S4$zYhn4ciZDT4t0_e>!MG=>u5scMYnI0#^7Jz zw*LB}AZ45O$nlvl^;~EKq-1Mcvgup($nd~`n|vq%uq>bgXmZIcz*^GXKw2x3J5RRj z#?aA;;N;O3ig;@teWt-Ff%48R$@s)?F&*k|gF3&7&7Pt=Iy}#0k&>$xvd+JnlRlO- zQIChJZYk=&T=!So(qjKcZJVP-7!JeO2JxF*fDy~LMvCdkTcDHv1$Gd3zp|S8Vzc7| z^ok1+27R>XQj$mX^@|s&3L{6)!wNIjVsi4^N=Vv_4P;QAB$PCSTxMCP3tHsvAtwM{ zlTDKm>#jAj;=q_^yg$I(ynnLfx@m#hzt?g$^!4XrLTynC8{8102)UzAB@FloaBlN^xmUacpygzFI|9jp2Etx8jbCDBQs%-5x&Ao@NO)o- z5D>JK_K>tgCcIT2?6l+DLsW{`-UAjhSd6}ILFJnS=`p3lr&sZN3 zT!1C2pbi)6Z_9l?5A4UiD4*tyBuBCcdYczf{m^0e1~xs85sA8dbSkEmt ze!@KH&CoUVtr&+@>9gaqwii7h!W(sjMYoc$1#@K+E~mj{K0bj)k(yg#w~Vn*kVS3k zH26tZ7C$to&5v;8_ow!jK++NGgmT)Y=HyRZ{Q57&Cq9VN58t)Wyx2WUzNQgP@x^VT(tOXzxG{viWq zZ+(@YQ5`yJcNrI=f(nosW3s=8kA>RxqOG%L4FE#mUhSY_VH z*8Kb6H_;JHjZVh`+enmGs=$mh1g#wUFCM%LmN_LBhzmB7;`}ZCL+8Kz1w0fLWK<_5 z1REo2KR%RiC_f5MxbKu8$XwQbp@1CBLN6L77QzdUb1lvF$DQIc+gZlW#-VhqBd+=F zDn^y2c~YZaHcZmM6^M9EX$g&}f9gfK0vH7V#&)QWmElZ`Tv`=P?UGtGyv=rw)Tf;A5#beBZK2PPr?f=EwUgpA< z%N^7m%bNAUN)m$i)gyCoglS}wlc+KnTphl{XF9Cqed84}(%V;{?^Y*AT?gO!&#qJ! z5va4N?lkeVBM~$MfPfS&Q`8_e39Qs{QoMiAy6RfNo2>?ZRyX>JiH!y-P2nnv-Oba7 zf41{}_b)Xln;ccDqL}#oxTD{j*L4QU1#b^I2;HT;2#WF;V<&DRpFcM@Vi{I%i(iMD z_=2)-w+_m8h(CG@CyDh$4Se3gzi{a)&(=9VKz?r5k1}IK0Fc(vwml76qgjVhI<&5y zH^@(jaah7VZdX-u>>0I!r&5s#C-bh_rina8)dVJ(S3v2BhCfiXO*&kR=FcWXfLDmx4*n_~15(RCc7`>^YwJ3OBZ&t+elAtb zPK5Nx=``rj0GJ8~!{A@9$VEwusb6m(X^tMa7iSg=TRUlnd&OrU}S_ zVS7HekYQnhS9N4nN)-|uSR;oqi_D!_2o{>N08WB%{CbYOeP3EPzhNZ`Y8rVl;TauRBRzoD*Tfhc1#Otj@~<>Qz3S%&h_0a{@XCVzTN$)In! za;P>?YfIkELiB|zc1UAm7^Qy`Zasoq(Vwc%r#kSIY!QBuwcDXl+;F%uPKqBU{1~bw z0l_+pTY?emP28eWq;j0fGn1v-!@epkf&(8XuNHXtRP{I^0M>vfcOTXskoLNcjN{4j z`*kcyDA7zs>TXYlCfnkzU+fZ_9H*4vi8xMM}RN%l4%`ugTbJ8#9vkih<* zQoH0@3%+ud)r-2J3@b9wXI7L6(4bRxN8&plnXwS+T}HeT_SZcEn+|m#?w|1SAnkVa zY1*#o6mVtrKQUx|eXc#R%Tf3eW>at>;rk0;d0T8?Zst04Az(D1+%{0GhZ( zw=E~J3=rQnm%KspLB$M>@}otP7Jch|ulU&8Alv2mr?*f7PE)KWE5>pr)r-?yD%!nW z{oF5ai|yeka8~0Z&v1<)WgPu=+X)D8tJ$84gn2YClHX+hJ?}dDXlL}K5|>5!RO>05 z55JXlaJmZT8gG&y)D$)tb*#IUkRfV{Iw(+=9&s0nfpW48a2MGXMs2Jp z`H2Sw8bswLsZG6@s9_%9JV$Lx2s>yt@9Y-OjfSQj&E6A3xb3mAuROQV3vAk1Yv_(A zXzaU%vPRJ}7*;Ml0ERl_z~VfrO>uZaz50$JB<=pS?+fkKbi(d%SPF2gocwFJF#vyEAz07 zr!lP>N}Wa8O_4IVKSFY}Pebw#R23;+#&W5LE{h9c;F6;0fCX0Z9Z#T0<1DlgyTQYR zWb@R5DvHXK{A{xD1k$91;!Q2}L<_*V2a!fX6a`(KGU^ftkjU}mt{L3OdiU@sb}{Pd zVp0}+vtG<4E@+1uwLyRuG%doELmqSk!8q?hf06~QwW%-|oNfBQ5iYuP@X#v@%#N)tpICssG;5&&QV#v>_DL>63Nc9S^ztXSO2Ka<7FiV!w>GaOI@v#6JJd3 z)oWpV5|GCT)}t3)q_F8THDjiOkt`K`Lsl$PRFdcwfIyK()2ePj>|D`P#b{ol6m0bS zfe%f%RFF(pgq47=X|KnBV5!20!Vz?8AvV9^7O^mc#m;4N0{2m zDlNR<^rM!J`!`14SKa|!?#aqC>T}vt1(H~U>10&iMeNb{L+-T`ZSac>}4o3SGkHn}NdG~#Vq-a-N! zRTX>rPWkkj)sp4;6&aRwVezWC0)3cm1yQ?TstMaW&0}z@`T9J42RYVF1Grh&&H^P@ zEb}Pn42_j(g3jgPH)zE^*px8~sJrbI0N3qrGYs$Xx#L+`GR~CuwV|ROuvO@!%0f9>iMwLu5yUh!^vgd<2y*Cpa0 z2!y{;6d(xnBS~g~F=T7u?6M?f9RtF1WJNM#uRi`FcC~(85_v?qg7pdp`Scw(fRw~~ z`}{*vb7Rdf6r%AB$$?&Y0_Xgy0vvL-Zp1Zqid}Z!|N#XDRK&7jEi;8qG$gv`dV3sDF0NX!m(C^NR953+bvoxW;Af ze9dNc1NN^q})z9#4g>_%Vdo~c_H)~^QgFOxUFDP4Gq-g$_|u4Q2Du<7sdXewr1P3 z-2VW3UEf94V|{t%i6TNMQq?I9L?}&X@m<*5Y+NqvTs`%{F%hB+PyUZ6p5+s}C39mOkR$2%ab?e=1TBW4^U? z#>D%`62-jjX!$8f$=dzr`oz5G*7<3mAb3e%qApb^J6tCiDrA6w>+!Na>?9(Visk+T z>xWn}28t`$o9Gdc7(;k)e(kSS``EjtG!G zIY?k8*dEWvrC|Z=0`Ryl)B8R>nGl$)mCI$phoI)j?PgupS4E#gNli89*=PFT;1DPd zO1A#>GMQa!%yrn`6R2PAPXP&NirkFFB)Sx8o>D22x3N3^Nn9L##zL*x<4GO#2bB?e zKBKxHJz7-!v(~Mp=)`mzuS3q6!x>Uy2vPGD4&bR6A8-x6BSUp@wD!hLG5)##4gSVKUfedfW<|g z;$lyHR%O)ShJdN)Q;VGNK+^q#a=-6z0(Hekk{2m)Qc3w}ayPTo`l#8^RRp-B<$3j4 zt<01tb~C}C%6X}~jjmq@SzKb`_bQDH1FUw#YuCF=dQ5wpFZx1Bw3`kc?H&HEk;m_W zy;`4|U&!>6f*tOni?S_J)Nj;DU(=;El|)puE7yem*u0n2Fz$6N(+!hM&rsD7hroP> z;l(BsEoCvd&QvZfNsWawbpj+u4_&74XX+exjH)-IRV4UWaW~{wb1JMUzu|k%FH$(c z6@lzBf)7m`7cP{@dy(#?I7hXdv`ASxl>dzUnS!`}x?)ZNbPPvToJ_aSgUm6%;Q)B&vd7iilt%pC`T z5h$nR@;-QgBI{eR+_pwiwEf^s@!g14dC0&TtL~MX0j^csSrDMqVS~T36$j03w6j*7 z7So>Vxv@1)*=fB2D92jk;!efH58Xj8?0;lwT~!<3$|$s<6a6dYVJPYi!Gh?-b`m86 z#iW5f@JW;~b`rJJ7XX7r=t8@}F2IAo{RWcAQG{xf;B?bX=2ac3``QE3F#ohS$CUk| z>W;yq`CprU)1O0gv}A0pN@Y`zfNW8pEbR>bScr)+b~WxWOxAzX3jjy81CqXL}9tjTmLP z*$?j|7Hyw^jm+O0WMl7NXuIZ zsFI60_$EN^`nC~i!-S!K9D4|v;Te(`5Tc9;7^M)`AKTsQ+=0a#cSQM9bf)V2Y!4X9^wkLIX67bL>qG( zBkNM2N~Pk*g)QA8JFsDnIY|fm^Vr+6_Vs=WKX4XMYLFKx3gUA&(!I7shpg?W(t1wk z-g68(mi`NNq;q9#$U=y^8Gaq6mawitV_1ullH8+`gMg7m__+eS5M$heFqydU@*aDz z67_}}wIEA4wXv2D?r1zX0-PKwr`p(25n+pPNDiYOhZ=_vckbXApqHwaguci)F%G3J zhs1bqMVK&~9>k?QSDxcbekYcVjJ<;&587bXsZvvO2umi|eNM^BN*~&3IsJ&JuS;z0 z0-o;05dbY6g9Sc&D`jHWFh_Q$MgwR2(=>8H#f+e?kx6;pdGmspM;;#>Yj;a#8>_SUo@#gb?Yk^&mlPOmX+a=+S-n9RQX&HK@dwfHImg^Arp4%feiNm&EU z!}$?3)?lF3py*4qPAW#;BIIid45|yUkxKSrKY&^E0Elmp?@l_gWAi0(crJRq#Ps3A zLj>z*Qp|u7!yRNOx_iEU5v@32PJT#)OyOcs1#9v1jh^?Iaz6y$M~;K!SLrkE*6wGU zOUZ?#P+Mn{kDIG6>ZO!I;&ZV2`$rH5$N0h)jGRYC&^!_@H+tA&{vdje@_FSZTc~N4 z6+nP%cYDPX5?eId4vG?dAc^l3?%ufR5+#}@9!J z%zhUY*E>X>enf9mDeG$H(7S0$d+D7_cZW&w9BnKhur?KAhjIhuR(x8xCZk9g`!|1A zg0Pv5jZer&$SZ_3d(x@P4@_osL44BoH2|rY9xjCtY33;L2I`);4C<%Eo0a+?7Z4#Z zQk06h0V}>EWnT#XI`w8uB!`yja?X6mrf7a>^UTv^ORl6y#XwoAu%YgR*c@`tbQV#> zgse2OnZl&ljIt`ec5y?ocrWVIG-M-|SnfSjAaO9zfe!QA5ROQa>9yZVj{OJJIAfQu zOAF?TS_i4@=Svh+c^SglPd zYHx(p3UQu&!5|wj_I>yi71tvRlO4VIPgPQ%*fO4>1JAT&#*25_z6zFs1AQ)by{&^8 z1jbQIb4KOMq;ht#L zTcY=YkOpqIt-6`Z%m&5gnM z-D2|1m}q{;(Cpn{ICX8O%Z40R@XD&9`qI9Fsv$4cYb0oW#5Rqux0}MxVjMhZB}~`xZn`>(TNu7N}r7zPKto;Eu}ClOr^6;+ZI;7yjRpfJjJ5AcbbN*zZAHJ#fgIBxaN%W;NElAy~YVZL<`DjEb8a@Mdj@BnM2}TDNB7;`GGnpA-_%5 z;oV6Lug07$T+jxu12kvSFP@NgE^(Z@d|;yo(}AU&zv_K7LW`@|2TLQIPMvxublkGaUpJchV#V61n%!twIYQ( z2#w>_{uo>M)eDYI*A&8g`w|T=CrH8&%1*15qkiyS%b3OyvQpkrf|Rp$F|@QeYvt3p zcPZ@_p0DYN2H-r7TH9g<6oo4v`=HH;u8HP|u8AKI=pz1GfVibjbD|USA?2Ac0pE-> zO{5qeF<*>nS%t0*iR@ zws>?fJ`x%Xx%Tg&SULY>sb`WI4?(C_L6=%$vS4ai4*(YwvxAxA?T2J=qSnJgKp?jR zT*F^b0n^+{dY?|Y(@QCITyEKs&G9ju^jTjbaV<(}j3D1tF419$O>m7+O(lP-iS4Uj zO?lz#MobpJib<2x@dJyNTT(7!m(w;6O~ThoI!swKTYz5uU~LJfuLV1YdFy2uktYnho1k%cmy}_NfdWA!P$DQ7truZ-teD{BQb?X7cqGCUz z6#^wtm}5-Nbiaco0cDZ+`ctDRe~&KC(zPP(JVZ1*qTw^N7xyk+#7t$ZF4mEZ51rXb zdU+}D2OY@?-PgR|t?N-<^W*(<4IXq!4{O@51JE_`xLa>O-sqN0^elh)P)c!Nd1%jn zU&7`$3a~r4FkT{)kn;(c)_eVAi3=FcbA4u|&98?xS5R8hkjY=j;yr$R z4vqgFI*WxU6=YIeDHSR|(gRNdJ9akZ2h?v+4{)nC%F5bFiJRtx5Kd-}^Q&GuQyh+Z zS)Z8|OZ=xoF;NQKU!QAXmZ|#MvmnX_Xnv~2AsFzE1lT0tGwOS zK1Dg(b}`%OWy&|Xu~90f*X_-bq%@0`ipP=N&?EuVsu>A4wd{qd1vb*@i~hxIP~raNA_1UWy3^pOYe6f;dW+-zn`fggFNGSeFl^pp zqT)ef-72JU&GquY!pv}H?Kz~oa>ivB@F{hbL#1MRS&||j@cr-iy5;f2}U9TQh_FTV#tg1FX5iK8#F(4ECho#oe>#iNv+P2sW`(l zbJqHQqx9K2i3#>VBD1Sc0Ok^ygfDkqzULe-Qg~d_`m~s3YVPJ!?yVtLo_j#l` z@+bnImu#=nm-7k~!7+HUM9h$0;RN$S!>#lX0a%yoFsCjcd+4|GfZdgK7kJsdTx;#} zOmS4NxQw6~perHZt$JXb8)S^+Fvq$}2Npx8$(BW=o7vT2tCR#L@Tk+lzI zNWNIU-`kNGbEIBulP7r~eUrCKG&gn6p{|>Q{S1Xqbdeas}Nzco7G z!)2UXFoywKC5W{s+y?#!no5Wh3%93+YnGqu6#B~|y1N7~)>^>+7z%(`=? zuf{+dEzGi)orrE1#WD^M&h^&y4BW#$rG;Wl{tp0GK&Zcl?F#zFdV|WzpD*vQLlSFx zZX(vvc1{wDsKrd8v=G~B6KSB~fpvVPQBDwOxFVBY!4?6llXbx^2~8GddYX%4eJ7Kw z!5~C~ah22N7Oawcmd<=xS*8OH8x;60IPgSk105k0@_s#QNf1YTH-sw*ig6UJ69FNP z`kG+;%7Obyf~d^8KRFQZJ&5;{5W*E(WtkRtB76_Ugq2K7!k*P}MS#1FRgH>!YZ|XJ z{IQmkW@&M`QY2X0+&v&f6b9xrEb{KJYM;4IQw#@uASh?HsQgQ*E#g_~IU9k_dEN&P z<_^~TM;pqv*}PYifWkq4jgwX6`VR_{QR$KaKahztpvQ@|PICUWjT-;N?mw6Gwzuif znMf$2>B1Lr|L}bfdT^EB?9CU;>HyZ$vZuCXU~TfLcByrwld3F|+QePS$itRBz zH%DRdw!Pecr+whEN1+76WIA;t8I)kvn|F*z28-FU-V1UIlpyCI!3h_kAmXgUZ_U&z zMk)Tdm6Yiqoug)dag7Wt%5n}C>!Y89#Y6UEUXa7YLP9V7!oWFKNlD|V@$@1=Gw9C1 zfyK`40(Di)IPN;K+`7FIE*(C~2hzj|U}#Q=X$Sl%s%Rlj$Q3m(RNT1cCz~jS*>GgS zay~ZA9|Y@z%CDvS;Lq4WVx4ca{rE@w?W)Bb29l(KIj2FCV@JV;LDF((0ZRUdVaDg_7|RfxWpt*~Y=+ZK4hX|wb5$L`NJ@mK3V z-*?=9`iAAWVHLEhRPe5{Au9@4KgMb#i$CZ##$X_}#AGWk zw1h>NGGpc@a42fmwg~0QtAZUVh}0^;E&q_-3D-g|;&d^a9dq15cPTn1Fc-$Hwslt* zcg*{G>%O^NL}u^=u)0+a|J>jJL0K0q^B%i@;({?8vOJAB2xMmD4jwyxBe*E|m zO5NWZ(Xx1ggL>1g&Md?b_pw)+Z!nkrA?+j)F7I68%7-83vJCnoX$gA~Y$+y=#M2kt zx4bwpTCdT8m6uODXnwM>nG2qc(^BrxBSq_7*tY5nM(7Ycy7;>jg5(ZBaIF1ss6~Qb zZZ(uI1#R^&GV(|=dGKoQ&Ee6>&Q^c0V~@9L7oN>w9C5k4kQGr22IAm?SolueE@8?)yhhwtKR7_)A;pQ;#ocjB^Q%pow*yY4aE+`?~l0P|xeiHCM`JnF|(DwrLy$MQr z^JkawNs6ue5vJ@GFJjhzWCI9$RT(d0s~2_ut(%47MBd>nr>jL{jP_aKM;Ad!Z?w#t zlXV95|3gMgPd)Rxdo>i8s6CWbp0A01)EKzS?(pKyI`2k$O<+rqlx6mBoxR-XZJfu~ zM%FF-a{?@U?R7*SgZss!SRcHL{)ebdzY1^Fj>z>U>G0OvkSj!gw({mGsWxA}c=ff> zRGcwaRkhf7{ybS^@ieMroWEm(M{!mCv*B^m&m^o>S(QMKVgkOc}l$zATq> z`lm_?`WFWff8d;>B_h76X3oXBv<4$hQto$=ss>q3d1CX@6Xr;`UWod}Ld0L#g(YtO z0FW0Bz~Do+(1A9806_id0QJlLZ2qCS>&Q{N|B=Wa9$xV}*Fjl+>J!1_z)49p50 zrG3BbE|Y|de;e|jV8{`Qguq!jYkqSKyYhdBoHb{~IfpQRwfQJP5Gfmp{P)(rim4Ay zQElwLQ2PTON|l!2#{!S&<0(~&S8{I<*Oqx>ih|&E+eX>uER$G!MAfgBT5|RLkhSex zC8sdK`EFBsjZ8^D9+f-6?Q|2fokigh_ZtX2HFq2|k45L_xrpXb!%(h!y@B$s)QH970_T~$%yV)jcmwk zAye8sGLMD0fNrtU)pKZjs}vJlv#$eiU;CrC=;=-m1!(EUSjjD%R+(cMN|aH<$4^ME zP)bLO-T9Gq3$<{|+C%OLU+YK$A@yis61YY`6jafF(GYT}9H-4mT-&Z#<;of|MNk9V zQbiC@?EyNx<+lL=oq)#6a%;+mPk)#gum<5zK}2DaDyw@S z-@Kq9Z}?g9RZ_bx z)xF zaOyR+(W=I{nOd9LUA!FP{lPju|qW61e`gQ6c#_HdbdxM|@FD$Cet$pf#P0`;k|yru6U z_*wH=0r#l^SZlb-5e=*)rY$PYo)0_*;1Gz0BOI6sYT&II!UegGP%ndlFrfv58Y8;L z%xr;wwV96E5LspPL|a?EiG#QOL`~_>aTNw$aXf5yBn(HR_y+*pYRd=Ff=5aL z&neM#`^;r3e5@|!h2v2InB8QSO*62aI@g1Qb{r_o>tqM%I3iH`e ze+gFgpZO}ExJ$=B7Agxr`dF+(VBln|MO%P0EMaMhT!2F~soYaess8hSeCj`?$UlG- zshxGJotLFz!L$u*JKF}cY*|J2SqG|d`xL*RI~!Fu-?=kVnI8yuy=6S0m^vrs0U`Ge z`fqk{L(%dTqsEK-fR?+MaCDkIQ?A$3_8ii`EjD+Zc^XJstPb~5^c&gdXRlsvSOMGY zR4&;y?{qCTo8Ehw@@sd0{&Y=kiX!z^QyOJSRO4Q#s!lmWX}})qkdyv6JZd!Qa0m)w zzyBzl?=U{%EYqWI8&&w*uF9kfZJW#;}w$-IbS|N|owgzQnMp@6X>Y#0)(?HvQMr_+%4;;r@n@Wnl zy5-r!s;J@pDN_>EN56zqg2>$k>pmMNn{hKvKU;a-js?k1a$A-l5b092)T}D(80l~$ zJG~k@JdTzN$oJfZUV1IvvBA@SaUKFvkH2C6o~ za5J=ts%0^)DT!NusZK$0K*9>}ZQ71?DrhhH88AaOO+ z&9YeRIq{TMo*1@*XH)lZvWl>>F{9hU}t#x z{&>fpw7@OUGvln_ZG5|TYVV%IKh`{DJ@GWZNt>@-TM%YMcZ}H}TJwv_YYxn5L#zv@TI-UkfJw-1uo?f!SE0+(Ppnp`1BI8m;WVhE@HA@!p z_TFpzERY#;ZcGkPH_~JlW7^`^cW5GZ*L#FFSel}Ip1nMe?c>gLiA2p9DQ3Hm7xT-G zjTWG>ox=-N;-ce#c(#plzJH#O?zX@V`&1}>MIbMK7EGMyk+zuZcM%5dUB?*m15p4) zzES{%S*5PZ-w~1$fX)wT(}12oW=38Eqq%dT`c4F#KJwKfEfO&f~F%d{|XtO3E9W`XEgWS%N@2&?UMx8;mMAZ%>w)>n%)D zy~i@)0);mytX$L^wd8a7t??SX`5OH}otU2Vye>3j}~SFH0fiw#QY5jYPr1AtO=s4H0a`**Wu9R>mYdG^k8} z8fJ9uRR$(po$&VX%Ep>;%C7qTfY{!D>{&rA3`_$n(6~9qxWRAygjnPHdBNXX<^=<_ z&WmuUaqGOW)X=>dZDf492((YHhc1l4Gy_%SdAuh3uC6FDlDM6{?jm-jq%WF+V#-Ix zjhV4d+D3?mbol^|&u}@Xtd5}&h=!nl8oH0<0g*qrAp>;^^634#F^CJ$IQ$DO{L~jB z?FA>!IL1Q$m7_cx4HE6l7pp21b=Wx5fK${z0ewkH|FRZL}u18l+e!LEDNTFE8a%5ivL6h;Wy2|G( zVPXu3i|z!Jm<)*6VYOlHc_1pf|B`v=FJ`}#0{QA02K^2-l5jt|dc^Z>NO z_u~uUH$yO^)=@s62d;Rqk33y?R;UrT4FWMAr(Aa)-Mx+Dt zFT`Ou1;EHLtZ7ERs2SRx;-&C>&Y&Yu!x|2yU4<}==iKWwy9GY&x~=JdZ8};4X!(oE z3-Qa8lAb2BQXDn~MRb-`Zg3)|CW8@8Z$~L%9o~T6xj;vft=rf~J+bwo&aP3DpWe@i z%9SpKpplDP5B-z(dkTU#$6c7+{iFV=fY`-LfL#D74jANho@4f47YOMaqO=fTC?kU~ zQknn^%1{qR2^kFoTKa4u06AY8^#)Z3UD9<3=()A^T-58e@{8Di&U2X3Td0Ztb-sam zlgLl;0X%G*2W*9+%O`zx0g;S)%d&d;GiBFM2xZc0D{Y5ez}%W39iGm2J;)lexfORQ zgn46j)8}(2SH+#Onn2020hFpH^Z58v(-g`4N9(fQosS_T3PvN4K~*5sP*Bh7yz zl4cr%jK&R(A8N&aA%jl_09=Y&j|^@TN7LlYKht%bue)fniAh2x22#(FaMVGK^5FD@ zvAs`yfeaSNZp0%d1e=*n)IRtBvS-eSuOc&l0RC}?w4u4v>U1S{<9e_~LRD6y<$%e% zrQ}@?vZlI(&^8mOndP)x-ma_p(r~NsSjNcqZmwaI$7QE~I?{W96bzqeQ zCWnQj3@m=xyy7o=b92d?;1b9m+|>|oIpHtxsu#p`T;o)mYy`)s|R<`>rUU6s1erTIc-*YI&|Ik*m&A_Kj6W`CvsCJcuuH23C{ z-28GZxaJ479WFkcq?0Dwxz=w|d#+oU&#(J~9nZkPGsw-3+7c8vX*yB%lv^_!1mm$Z zMQbS(Tl?CFj4$}Gj)Ox50XU9btr#eYfdF*n;DS0^$^(Hq+lgKKc`NF;&Q8W^Z! zz5<4SZzlz)o#!Cn1wmVUabW)_{RYTU^ z(KL_&R*yMcVj;iMncu9g2H*YaB~v*l9M)C=fQ<-#geljkKi3X|~`f3@qcY?Ju-#^~+SA9_n^~ z{sZ?~zvz5i+;_g{fwf@H1g-_A>@U+gMCD?dRb7Jgk`)B18|>`wY@O2nF8_>n_f7_< z(MKj1H;wKCn@hhKk+8<$^1AN9_$j0fk206&a#Iz%TmQf4tAgy#4|&<}&vDElM=qds zNs$h~_8}Jn77pfHFx_*4OcXYukqT6QBMy_RT2`v!!Q_glzH2+Gk4fx#)+C(;!zk@* zn;OwFE$^k};HyF@`W3o#+>J%=a)BFEopleAU6Ng(uA+;aEW~HkxubAg>Whwb=0Fo1 zF$M0qa(t-D@7lm`A~8gWXF@sqY{-Xmt=h~Jr|JcX?ACOSgoK!@ru@4Fc_{*aYMZBf zi%mffkXD!`^|YpHf6AEX+#zxg|CZ*dM#SWfj21gqJ#w*MvD2w;lbi=nF6rfL9yd{w z_EfXW)JV6GR_ykubE*C!?x#>rP84bD=Jy7v*Ko0g=x1z_b`u<+zWRA{93Ave-`b9e zZ1kC{1VeUj%iQ(Gvrv{Z1W%5Cf2eXiWuUJk_ek(nI8}=LUHoJW4p(KuS>+z$bRLWT ziJVLKgp9}JV1DejtSH{fXA3AbuiQfdwUIr1<9$OYwn2~)3xjdMD`W`7KlfTbQ8}JG zpvp0_^)#!dP4VDQB0@R_1&)VUpqz^hKb_$h&*DK*L_<>g{M58F07#!A`H|ZzZ3{>Y zmV@4)Q+&5hFdHPUz8er)2xLdLriByiI((DR+a7=SRA`n~SNT}G3DTSH2=|z2ZvhZ) zZuR%Kf{~mP1%sT8UbbL&3a2gaKpP=a+!GaF7r7|1YxJc~ps9Ir_q_ZlaU16=@ieCPx?%pWimVOUAauA)4On@2K;-QjmPPn@9Dq;DgNDQ_}4E_#f7qMkSA#} zKAKPO+Tf0%%{O^5-r$vMSf*eER36wtGAi;NQYL_Yyys*i`UVE3kE{&jL^A{^ee^|& z$(dX#EVxxKoXPMK^GWT6GZaFIKYmiov7aR41#R}dGY_dzM6HMGljDuQ57Kd#=-gO# zjpiu=eMBr6{rek}5ZxRUL9q9z@{y2E#$&oCG@YkK*-fuelR(`SG=1?MYS|%7ua{Mo z&wCc=LB1?g50c*6fV(j2r3XlFZ9u&4&4a_2HrU_NI}Zxp*+8esg_DWhAAjLxk&O); zHTP@aG#O{t@oAn4?I5{)4O@To86}4x3HuXh{8o%z-*W$i$`HT z;j%7D4B{;xh428TUWRee3MJvBt8CTf&A4VLKK8G*4GpfHD_20t(LqHO0Ei}^yFDo_ zTjhdwjcI`6r=}u#f_+66@qc7<=W0$Si-!6$kcFq(pFoym6^Al=@-`7>LU#2e7i_dL zWns6HIo4gpAC&dj#Vmz@-~eyZPgy`Rh5lH&;MlZ*JZqrZXW;Tnpi(fUX^t*!egZ7= zMLiOAyqRP_-qI}=zD&kQ!djx<2&TtGVn0#tEB#3~TE9E%$g?thUw@(g5--3g1{~`O z-}?vQdmqI2Uf_cdEJW+?Y=by zPbw8}At=RAqVRoX_oIh+oG&k?>1z1ZxlCtNdj?u(3AJPRYJZBbX>)o%?Y+ka1CiDjEypIx_Ay*iANdQJA;S9D8D|x7gNRV952F7>12jRR-z3?*c5rmg0iS0Bc9tqf8Fvai z{M@0QiBg=&xB=(p8lYS!T#4K)mi=ZABZr7JOdSCPx_{H?!)1YqPvsQaI@&)v86F)D zcaOH-4QeMab;o{QR-d>tev2l0TL2F0{w=)=Gp^y|5KT2*VoVFbU>IxQEl}sb-CfQ{ z&Rb|kHp#%MP&IsJ)&}*vSuL{HO#p9E3OsEGiVO=;=8vN7;I#AiTJWt>>BRV;KKGyR z_D;#Tc7Mo2k9vm!wcJf`iA@34#&cQBhemgp`%DY{^DjtkCWg%u=;@)2eBk|gy*}kJ z2JOj?xQxvhzq=Ofjf!-I=r`sltk?tNESct)uH+JN+>Qie*mzK-3snHC-5b-UTqD8c z840qH>&FnUJSWL-z+ynf1NjAu{6YCewyMZ)-hZaa7-mj$+w2L1QA3;_>H!5#C>M?a zKdXl?<@i3Z$5pvMXt(>unZf$fI3v!ahEPbBv3IwkV?eUC1sYgTe67U#Jfckt)JoJ5 zvVO&hZ@A1)qU!j)lVE~;NTT3LezRIB)+$Hnd9{vgiA9d6L3M~0HmU-jf(xP%)D$|T zA%EH)k5h)}Pp3d-=>*7ymt3=aTWO07^teecmVEalXT~3I1ha`A7aAq3|KEdybUB`h z3IYyn5862j358=IYAbLPvVlKdQrP2(l5iLnN)aM0^YS#g2rov)hTv~wW8)sb9R6(F zB={8c?GXX-|g~H zneEh_FLk9Xru>oi3TkG1zTnS>?rFbhV%DjDHSCBo8Nh!VxEBmBxkHG*dCP}_PJeh? z!(eEBfk9BK>qj|3|51!ucObb%ZNo2+H%q^OALnrOW|~#3+)%5~YE5nD+CXE+=|5_7 z4}i;O*{>@KUoLZq4V*r((AKoc8K9CdGq7_$$LVl}8IBmE_E`I(HZWAORO>MPUgOm0 zR??fUvwWOPNu$&6E~feDLs!2Cb8uIRf@%3GAk$3Z4 z-zLz089e21z_?8vib;c?Hsx@T+mp)PGSQ3NE~*AIuZy8dP<8~sVUD=F@hgOefR*xs zxC)H7ZU+g(6aGw)cV^RK3=x79%rfJPEUiiD=2%6I2GfpJ<0bVL^sUkR>FtQl5%Di^ zKT%aAqx2#feF$>XC(S=Voe9!dc%}^KK6S9E3zl)jVT2Du7F4v=QMf>y#+1#Q&psIV22 zz~vWQt5WJjw=hPHz@^tg-AJz=IMYb=49|xQSK|yiOQJ5f`Cb=w>2;7c(yNfRQHpWn z6eQSAC>j^)CY|q9L6=M$V(C&P{dUw8Gp*!WdqVMnA?!0?scX|YdD0^IYzHjZ$D!CZ8Ux_Y_%nM2n zEtCpb(l=O5lr3f}V{FxZ0A-1}Q&u7pAvKNo6e3aKYooB_)GWu)rH}r6i9vl zh=25pEWEA5M++^592te8pk2yM{2T>e?{r<1!%30P1eqmy*>uu7Of!WWpo7r3Agdk$f%EDN%@sIBVHWp0$!%3>@wC5Fa z=S}oHdKEq6nrMB;7yk4^*jp2+q}xV`iGQK4Oq9GhhjAkCU2V4+SK{S#`F1b5-F(rD z?l!;ei9YrE4CuNI$POf)alp^75eTP~=oCPjB7s8-3MIt&4zLw<*_DBh} zgi%n5fQO~_HXIj?7JW`n(IGPV@H=!ARNpa)633x_=kdEBD*osLc#@2>{P*sv0*Uye z_te%^I{NTBzx};CYlL)M4wM0_vf;Bk_3g~ZPN}jY&8vNOx^hIWS`3exJ!k^P&b$g zgPSYD|E!aKIamClGLx0nrCGuX1PUqnf7a~YlL{9L{7a`w)yb$ILq0fI;aUHA_ zEAdeMtgN22UJ1K-AR?3LU|}sKbmesowy6l%iJLFk30y}MT0ZgO8+nwGQPI^x4!bIB zfuM$3bTCrbYuz%ZV^pGGEyb-@<*Dq4-_wNzu6H46_EJkubwuNGUg{joVYdN(rs1M@ zA|{57P*0Ldw56HYd6Ac!FKmCtH!{J(SIz?)V10)G0;PKyo4DUEc$}A^vi=1KY2xj1 z7{kk#z4xcnJR$`uXBXlbcjX8jt2PysYdhzi}_g#I)sk8U24-^ulfmz6{=G zpE2XsdQ6!TJ@|qc?Htv;Ol@do3>Ph_Pa3tI-Qda%9wromx1msz`$3-1{d`N0NP3Dn zD%PL6`UqUV^8zHOXbzrZ7{(wTTv=%1sgu}7_c4I_9KJ}w!-9XDSkz#w##@^JI^Nrc z0-5((Sv$=f`}&R??0A3BEs%uwaRFI$(+m}SR0x*3z*iG%_tDG?%?eR1eb{zoB1?FK z!cUiMiB6#;>aDdIrc;qVNZ0R051gSD9fI`rS9ve#+4)oFE!05qwumse@${R`-BO;+ z4lJPN-pN411*SJ$W%Pp*@$q(6E~bgN1Fu$X3Was@+hD!%F@1ltmmq>}NZ1<%HhOr6 z!XR0`@u=1t?gSwP(;PV1p!r%{)!D`O7M9++-!KAtR8vCJ!rU7bH1fae(N`^dWk!?S z#^{TE-NxHP;`ObN$Td|KdF~CkI`e~buBr8%PPpk6ojJ~(;UfaDK36Cz8tT+-Otwt< zAvmPli&A&K>nVS4U}E{vd;w!}Q^gesviM+0w^X4}QwOchoM%8V=EQ_%GQvXu5Hs6R zi>VhRTSw-7jaVA*pv1-_m?Q95B&*uFx}Z!9fv>olwb@BX*W=A3A(=rnzDcGZKzJ5i zp>uL4J<{Byp?-pWDOU_KC$@zts7*i8FZedD0^7(9!OLrEB z^ES16sDg#p_ zyev9B<< zT#aGOKDr=-bMa%n_DvP?bYA;HP7`^XFP6~QL}q_UXPKt^BGp+coCe%dn{&AzRQyK!{UB^(<0P1uT3_>?%(;#zdsNoOIFlL8xmE!gR4k0%h(tEn6`A$7TAm$VV}}=c z6?KEII9ZKOP|i7TUiQedE$~won}E>8j-q5l5{sRvT+RVZ#|8LBHXYT-Il>fFLee8gLoP^A;Muba&k zuPSbW`wLR(mrPE1iGVPn2L>}pHJSlu2D+X?!_9m6cA7)pzssyiiabAcQUeifn{oit zw*Mk7xjL!O{9a%xgoe!*&4`kln#KHz6!Cw|{tZwGt)Q`W0){b&2mfr4##1X4w0>jS zv&}mV)VFG*!O1)3?K5xY_vgfRe+tlmhzDd7|E;L` zEJMDPHFc2+c;zWJh2%(V71}J4nZ4F%aVxau=Qjh04>i$7T;xW;Y2H>XcSMd1TMp^9u_Sl=3KacdiVaaNCT)qX*oEQ$=Y(#bsn z4SZPT`LxPp(7H^ExSINo8OTqetXqGoR#IFx*Sd;<;?~(}oye%0p&`F(q?2J9$}3K7 zc6UnQAMPS+#uwuf6i*_c#sh|VRx7xqba4xaUDeLHt;L4(NE&#&?0Hi8vr>Ms;5Ve7 zJvQzNfnv)`rHR_H76xZZe^CgSjj)oT&>|a_m;kWD`cCdfDMsC;!e!%?(l&oeDlmjX zvn=UFNjY+NpLG=o|N73dD5%8x6MCXdrS#!VXNMl=TE5FgtIc&;B#WzT1SS{#dtBt# z**Gl>pRKSeyo(DzcHW)rcQzv0?AM#$_r~ruQV2ya7ct!Mt?++7x0N#F_?x*kiE%jBUgTf&{NFl9jFSeael^RyO<{K- zo8g%$9GAWAPncNczeAABuw100ESb6qZGacDMJ_J!wOWGj=_))r?4Z&ob!hXSmM1J@ zw-4W(2?(4*{EXB8Jk$^Pc`aC{ILY9xp&c1YSwny(LIIvD^}rrN7i@n$&=NX=b~LB! zsZ@h#U%>tpbndq{QrtV(3H2c#5p(JkFNK-SCzjT|E~1OcTl)t?i8Ok;wvG!vuo1YM z7i_^m?w6i|^l=(uf5Yr=hi~?G9#}K^ZG$ymf88n)I;*MJ5qrn7!d zh5Swo8J%YfG1>!$+ns;%nowzuiX?VoemgUpn_-SR4#9dn&2Q`@YPqck+?2Yy;Jc%gEV5vq~$R%-Fy zRVd5PxDBcr=1KyMMumw7q;)eUmg z&W{9lul@7%cBKivV53J`5>(LsY7C4yPA)4U=wmiMd0y1|vDri2rYTc?>=ctJY}X*7 z{Lqc3Eksqd!Ft3{jW&caWxwa&UK%`&q}BzlCP+BOwnBgXXoWaiiv?!xTI6qK3J!2% zpcJZ@6f^Y8;=le>n%OIl;!N~X+@tznb5=|E?R6+X&gyJ~3%}>zUJ&lJ5jbex_3ZYz z;%@_}c2n&)Pa>(4MLXU?>&O9(N)0bbhZ@6ZQG?M!>S&OFb@ZyIGf)%rG&0V(mTP#+ zFB==7!0dnAlY({}>PH5_i%dfIa!5onk9;F42~>w{%}{*M-$MW*vB8E)AJ7x8(X!pJ zk_w0~(*D$E`^5)7H@>0Hv5VL0g!G1aZd-h$1E(AE_^Wuyex?m|j8l=sYS@NxAW&8< z%|+wDhOB{%Fc~E-Ub1~8!>hzgVZ11Hqqla?ea3(4vEOAuZW9aIZg!iFu}$V}qOw<8 z3%-S=39}D=uu7|*Zz|72VwIBv(^QN`EKt@My{6)FSgo>RaGT1x_pPe2Zz@DgA$~jE zD>&%en6HAv1hsJ#`6XU8_c|%sP-sXC z!(fE^NPBq&XP3_Kp5Fc(raIj%-Gal0wlRM#via*(grWwt%AZ~p`Nc)r)(i<|m(6A? zaixNjrKMH7f3!^(aq4{%oNOHp5d+HonxfS>? zI-;iAHhch087IZq@rg`DrFJn%36uky zdzy(ye`7-ks@bU7-rMYZu!wD3Ouh<$PY9}?r{ zNBQf%(@5DESb7FCCO}>DxMSCB;`snJnbX*E2;*Nk3h1HSgny_jENNbu6~cd;Fl4C1 zDk!<}{3DdPf6g3PK3Bc>oO>_6olXD$_MWx5ZRE)B{uMawRgto3itmRKC9x$rt6JMq zS@P{}6c-C3K?)HFFaRi7>(bTzhFMd|>FMe2 zscpf^(7|T*WlcB787QhZLAQVI47ZP$FhRK^CD7;XCd{{jc){vgFt)nY0t%pAjIgL1 z7gfAWJfULTZeuA!?ks?{#W8M>NVhCTlIk+97;VzVC8ZHc$^{2|n*r7xEbwM+_p(!n z4}_6v>LUKsfW%TGR1QQ;lWbUZQ3X<}kVk(+ak1K{n%?ESPgdTsp+E50sZzc}U zG;x0y#;*GVD`th?<#JClld|H@>IBagC__l9=nv2-#1_?AEQEX|L;P%YwWv0D#AVn& z#qDTWxE#5h_}!DFK@gRGjvPs5Tie%3Ysp3HA3`htMOgXz5YvA2t2Rvm0yO}WDnq>u z?TJqJsx{RHjjzhs z-LhA8p25FG+iQRQnIG!+-qEl6B9Goy>sS=&)D&NXIh=Ug)>vx2hTixv}1sKqmG88+gMH)2T2 zrCkAm&cbYk7?uSV%L{O}F*^eVH@PWuh_6qNk|`WJxnY0(lB#LRIDfhLKshW7vi>%8cT(zXQocftKJ?E@G)yIjI9Q+}(tTG=TNS7?!d{~F)3X-OJXg2)_x zwTnRYyD#+I9D7o^Z7t<-IDZ|YZYqS|o1_*RzxUvf1DzVyCixYuGX(hTA}JwO-WcwYQ1K3}^e^CxP8V z&7#uO6tQqfGDzcEBc^go`2(06@MOJ#j$?Fdi*?2Q?bOpsyKhE8uxz~-&xs4uI`P6`3v#fM&j}H z`6^A8>MmeI`iD}I_vRv`rBQvM`X&`KRzvCFO%Sg)XU2GpQnn7=I@#(T=(8?!CxfE$ z5Zw65!RLk0CW z$8Ue%pEDffrb?Q`(xF9Ii0W?uVq{AtJYcD$zy^@qRz?SKFN zxBv0#pTdV-*jSb`!&Q-wTI;lojHYiG{)nvZ9E|fBgbj1Yf8oS-W#uxbYm(&-LkWMf z7|zU};~KmFy(~H-_FL{6PscDZVsi)9w)huhEY;We!=bnE=jau4?k~q6HEVJ<9W4dA z;dX~k7Hls`qlM5ii@kXjKAW1mcN(lBe`;Ii3O$b96e&Y11XjdfEz<7F)jNb}&OP?T zCu`HLkP`(Qxh#~+Pxj5eu0}g1D>Hv?+-dAmO0!Ku3TpK#iBE#cuaez#M$;4**33>g zOjrF_SaFXn`s5e+R9km5aJv?7fhz;~VxE)e#RXlV9~Im{mSl6U!ZqOO`AZAF@FZjF z{+{A#tEdPQ7C-0tJsE{t`e(exwZE3|2`-n}w5V#G3;o-GlLAK}-<0A*HLH`#{3Cys zB`2|^^Axt!*;XK?w^fcJQq|gRl6|7EOf2o3mheq8<7%{Igkjm@n8;QsR4$jTWDrsu z-13Y1GFc$T=#mFiwm~MC^=~Vr730GvMs?{h(lU{{Rh)}ppM35JM^M|hw~z+6I=?s# zwztkxGp-RaF}JWpwkP2itQyT4BW{0~%^m#b+C#obs@r+m*KyJe%wyc#Vsnl9Pg_{g*)4Wb9(Is_I9DYltZY57@#{uBM8IB^>!jRY3Zo1|JPz zAUD&Cs#ye6x~_c9(}t)$k+=!qmrcaRNze>8UuYE~+mB@t`X0U{cX|}?@tc3MiyvXa zc6N4t`}>c}$h!^F3}d5q+RfGuh}CI=Np25z-e0_WKHB;H@1FejKj1ix$7&2J*}o&0 z-r4(?uTKuWo#%Udr*O(VEnY|2JGwaX*xyGyjLHMhdwa)kcf6e$T?sd5`D_OuD*yqN zINOI02Rr!o%KqLS-kvM3u5^D|t=1lEzQb#?#a!8dRoNlb!`Z=3uw3Q$?9V8eL)#Yn zBTrWHck(`ve=(l``6u0w<0EPZJ2|j5`-sch{B{@S*OdL`%1;k==D2uv_I?Lsr)l^a zdHpr=`fKF%uQc+yGFrOSyggNp8}2Y`I^(!}93B-MT^bi&j8Th{R`!23W%H&d)wJDG zd8>(z9zVeT*xGGW*7IHm-`Z4DdI0NH%{?{exwXvZ%%L09jgt%2yIQ5xWS*k4 z>dM-ud9j?s@+zRSx@##9i}9Lx7oQuoEEP;cjL+CMQSH>AdEsX_hX^52tl|08Vd5@{ zCT18DFldxd4PWB#VHY)fkuGQ(&+0nEpx^I|o=~jUULgQ-6$UNq*w60Y_|r521*B%o zx!UL~$D?B242nGnU#7^H*kp3n#G@$w#Rf*l6om>5YXp8L@(!4* zU6UDlWEjHTMGIQPngHMpn!R0^9;GOkcmUg5gX=8Oqv2Y_Hj3#SiK% zqAqqsM;)wpDZzG6+_OPtBqJW{959B$qSF5yuk_8l`hFIX&A!CgQ9E37{tmioJ^ z&`C@KpTSbW_^UbEv-0b}J2~=_IsL{iN}-aMC*D=SFVTWoQ-$K=p&WnLp)dw90X41u z*)@mfwSWgj2A+m@fB5b3;dd`yzCK3lE@(+Fj!sVL8~ojAcrV^x$Ul1)NDxg1GOHvD zDaXy-b&v+e*zQ2sxkslRd^Rt3Br+!O`~0P@c&_Mcpji9cECenRWScFQwbJ{B6;#|Z zXnKDkHlc4`#|*gDJCX7cyOBn5r`AiF38S#$ol~*z+hKJwe~{{cc04=2gP(t$z5yJ* zq_K?S2P!a8z_(+%>~{|VP`XG~te%u31*Gy;TQpIhcUHF2?}B?nV!;;`)334c#Yn!! z!hem0{~8PL==WvEo{^P^3`YS)@R* z7GEe(+-a#tky%_Q$B%4{IK`ce>mA^4mQo?K z0&{a@)y?nw%wOV*1~ZoKKg4T%eN~BRJ|uJx+nB!i;nO^n{6s}iEPQdE zSU$p-0X(z{9FL?lvoYCeyprCZfIbc>(Zuv%w}!3F%8l1w4_9wTL-+A%UX4eQ^gJqx zg*O(9+jkNi2U|I}>11BGW?30+kbtw}cjx<;%ju+~iP4*2E@{lHV|X! zL-okc=py1%Cvg{+;0Wdl@gHUA)U1mu)k_|3HGhwa^~dZK3~*|~T_=~C)S>8_E7GP9 z@m*tNnwK{UhIA8F(_nGF)}$y)?N+0M$WxXDg!U7P^fBios%PJ8rc`_(YKrsE0i9Wd zlJ2u&>B1&VpkpE9R==|9a2kxyF}U9V_bg7#pyaq0vE>py8+v+2=%i4nKs*NF`A%hg z*3n0AN8Ctwq2QSU*QX&5?MQ6V$x^{IL8cKqZaK!{ht5shg#qsTw0E)5)g38KiY+Nc z%F|UVjS2$#;n5hKI`6!vYKa%~^qfeRcNx@b_uUrdLXbQH0v|-(@6~}che4TL3Qnowm(u>rcA~*@LmxasFnYbjO8L=qT3UHwfOn0 zSJ|Cns)9lur>OW|)Xw2ThZj{ajnIjerrQ<%T9{VJ;GnjiLcQPI5L((CSgzObBIwGW zIR)k2tQf#zRO(XlS|n;Jl^J`sN7dzj(ZkvzJakQ`Jk!wGAj&|-|aq2wq3#hrW|g%B9s6#C6~n?~W!?cwKjA$K}X_D@dZLiXq@k%f}>W z-vUsD`X;Wy5qBo(?9m~)k#yJLBjW^NSQ zKCDMf`NM)sP-(i>PPK5xb-m-KXV<)?SiIup9TCAS!B1@G$fqZE5xLhY!mmVmk@;n; zy-zOUt=c7}X=@Agz6ZrN$fRHfL1o)wMZ6L_!pxxLH12=N5N^O6E;YA1iB0c*$uA7t z8@l6{W4a#LCAF)pRWR`A$@uCFn#}UG3(S01ucMjV>;7&~N#f8%$@YY}>6iaRw~?Ab zi8W7lQ&iM@iw-v-=Cf=JZ^X;{8od~4m_)l=Kzk_tF1><6x|_RUqdMMe4-!b+%M`Up z@9vQm`Z8bW%L%pTblQRkvT4(v=^mJZ-*+yldWiNsq<{!F6D!@%1`+D0VY1F*INStf zgiI_{WHTORqNq^3d=49ZRsCn{@MMuzmG=3`dsqs0z}9?&|3l5W&W0vt|N2})`oW7f z_Sna)@?>__F3Rr$soff|=eSo4>y+;E%LfrP8Pik#(YVnUK45dhWmo^nh91Q#2`!bW zo<>XVZt&DMdsLZ9JkyQ3%2cgd*#ZTqMXP+0$+XXfX=qH!zAUIrt=LHdgegRWfWTUy zd|Jm^^FdmZm}Tj1JXVFQGI5{TI^plPl-(-L+BD}GZ2?)S_mYC7Dmk7MWXE&srR!?s z8OICBExb3G3dD*P**gT*RM(u&U@hbHZdy;DbjbESv?!a^S zEsZstq2E&1!*=-~ss-iKky;WoLp|o#asl7kpg`fJ@`!InH(g~)5BHf(&=9KK2NLWh zLo=|3uuv^|1Z!R+n!_MBN{$Nr+*T${)W(MTyX^0{u4wS7iL%V}5@LM=EbN7PCbLUJ zk>6v73)fE*L7$7Etd-m7H3pk9(vb(gTsM6}H4Y9LQKT@U(V@j?#g2nFlx@G9ehPHA zTPqjze%u;nIkROLRd(RZQe8E&o4AK3>D2$4_x(PwFR#@kBDI@ipH`tQ;_!Bo$NHdc z{jIV}6{$5Umz2=sfU=43`|N&!7Txju#vYj^Hhk9=sNW6@6AKVR7+r_SVFA1(7E-ffw{0KEZ`;7Aw5Sa0FT)|Fp{NHbGya*em)?4XWcU01~fgi z%(1QVE+PZrn0lW8e0{85E@Hj`~!T zSld5-RyNDc_4$kYQ;J~cG`oepOetZDu+9h6fs;C%K)4rNwyI|5g1PtRYl${f()+GE z!ejZJq?rOM7Yh}yN+l{jOuEpy9ko*J;4!gEqnJT+pD>skHb4g?!&0yTFgc-^O2L!B zjn8&yNH~E&0{)Y&nr=MKjHvb?Y!n+{gF4TA+oa;69Q4|ZA*v(%uCWi%fF6gFQGI5Rga)>UwG{ZcrzpdM7}=}_yxVggzSxF1N$ zw#{Z`d`BI~`y)r18p&P>UZ$A18BDzYNJIol%ZOyBrT!%oOt_0Gkj4}ER^$TEj3e=jQ;)21mom|xaFXRUrZ9#9{oPwT zHfZotWb1)rR%W|uZ_50_6)i+ns8F_!b-%3f7+Zf|w2tttHmu++j|s#*mMF zGdR~DBy?whRGbGuKaV@{^`K&#a?ZVq@I=H2a253KT1}{lx12A2bxQE%TtqXGmPfCv zGdWZ8j|GW0cTh!FnFn>;RlVe@VFG4}{=EP#bg=(-&bDdjZ7ks^sYgx{RA+Btq>_5S z+hjN+M_o|`-zE`W6{j zvV5w{?dLNtmap=mGvH0XmR^w9k^Zwk3qB1!zxmR;`uq4yECwHQX*@X>rX1zRb!mlH zSb~zqZpb|NORXP;vTH=@d`En`6EmeJnZL?m$!e!*F@RXZpgUv`6WVJDEd4rt#QUM$ zB!LCzVpCxzxS^4c>~2=}=|3aPYLET6=EF&uZ+_6(14{Hgx)c`-&1LxL_q4eGK+Vhc zgUB!-R#ej1cD6jms;S2$njpMJJ~Vu`7xKuT>@~V5j^Vm(LN~eFj*FE|G4ZC2 z``TttHtVgzKvNs@0d%P=i(PL(M&Ama0XZdzBDRu_Do;*#<358tuiSKGNHQ3e_6>Is zG~@+8`XA9=5cqYySh^VT+dnJ*$bCeS7q64$TIhc?p%Tj=j=KJ#iHXA$;i;%P{rb*1 zdHBXV+3|!;rSdu@Fa_1_3}2o1fYqHOd-*(l_UH%N+be$6btqnUYW2R5NN0q{N;ONA z@RNPm?D>|)QjXvk@LiT<+jqCZ+k*T<=`?L3_n$FhW0V^G7>AG~xBJr~RI1;;f?fW4 zLs6{v)6_D2@b9Z@awRsqzlB%sV+ywEVBcBsEW-u&>RvGR50g*6?8G>q!>eXGEOyx1 zJ9RFWbbND07YeB*)U|Njq3dMS(Ob#A*vBx^{l1%HWLTbveFLv(%a907bQ0xI-FuCq zlzv&d(|GVwR7T`XvCDXaaXbdbNdQow6%c#X*VbN$!88c~3Fxtl=^6pfWQPc1%ieFJ zVG8stl(MK_(-(D;iRQO>)on~sAx%D{D<64Qb2LclZf93+ei9F&q$~RjCUlj)Iy=3* z+7qXjY6l04w8|zUHzuz)O;ULz5BGez!0TRE7R(M6L}`0dC9cy5{#)e$RzZ0ToPB7$ z)GmySZO(fQ9fN8|LlN%!S`O<4gY>HhYkn*ox!7hq1?gK{>xb`|i#U4tvUQjBWo;M( zoR7wkHXNJ4I@Jv_=UKa}y5qSy@qmlX_s{tl53hSG0^29|i+k)`BNh}}1otn+OtE7< z$d^|c(XVC>zMy7084uqakA`G;iSA;dJdP;&@pNch2tW;NL*6Y1DzdAaYXt}qF0a2^ zqA1PFWGVsI$XxqoS}1Zy?bO-7Tzz!$gV?Cr?8_$QhcKrM?iBb1YV zQl8ZvLmJLVwjRF}0O!dgTyfL9cfq86E(1z)cCq4CWmj}pCpAQYy%pDEY{U zPF0Ki=r09DuHrF$t=xKjVzXOO&|2Cn6XQ}_SD|D#L+6(3*u9%SByVJbq6lm!uFcEo z(F*2=+g@}uE$fr{lW>V{gz}az%B*r=sthE|%T`i|IC?EEvd3^PD-K<9ovsa?hSXSTK z)5juYCb!%{C;t*Ef&ed(kB3rpFZGT&dqKtjU=S5_C_f)xEg#vJliYdL&`hEIp7bt; zYY}zqqwMiy)yjWvMY=D&cEZfS4eLWr!=x*>B^fl$m7?sZM%5D~9C~lg6lZQ#Yrv<# zYnx3NBlbtLgb6lY9q-=!HEyRzmLOJfF>WMuqK0M(OgUX597WTyC=L!?Lxbl$Pa#U`WLP$H^@Xamg4I@j`T7R%$5wuO zvaGFy(5Pk3a@^KP^69O3Op|YM0MGAUX6^<~q9N&AbztvdNk?{K-Os zFp)hwqeoDd=VUDrN4T7V9r4~q7Ny>|>sqr*G^1xfHw>N3!S9JL#;xuHN1DQt-4_AB zUB037dx3t80t3`6)%79bUU*#|*X~^V)!zgbze#g}vES%A+49A#B{U!&&nP3pAdIP# zgE04Tc?xO$#5X#8uO8W9B&7fCheSyMHnC*r31Wf1>}g{-n^`3S)VIxObjP21?Qh+- zOtX#OoH>$xx)I&hTK4k9%s!hD_dHqtB!zqZNJ2{*ERz)AA+dQ)^A+)LXbgq@me$v< zYg~#DJ(AHV*d{3u3|zwAz5uwOX-he#hqJdp_mmc;wZ+Mskv*xD!s5-aPU6 zg+C!`#4V#qQd?aE&rWdb>J!NyIcJ5Z616Oa+pvIL9y6Kc3S6^kV!YFY8w&b#w}n#@ z>=O*RDITWhgZrl0pNiwZe&E}4jH?j)lMuwS8_s)j5vipWL{3QZ({l<#J%n0!-Val1 zV1I-7$YL`UIWt$OdfC+~ zKcc=mzJssieZAGwB9(Aqo1*F*(i*IbD`{~M(aWa1?&WxXN`V*FE;{;{)Q-$%#+JL} zMThYBbQoVu2Z5usVC5VF#obtZbaOR^))+-1ji6q-7V4e^kmTzyM)lo21pSIX+8Uf* zIhgDxiP`5S3Qx4%N&Nv#JHPImnVWQ^=|&@nCKr{BzS{h(m6%t!`9Ee?b3_8wxlC!=wq zh6cW>O?XgtA(6dO_@2PrTbN_fu_EhJ73CHj-h5wBQYMhWiQrFl7(m`;6&x@?p$(b( z0%^PWGVF%36$=g(`X=_KijZnhzc@QtSt=YJ#F2;Y!1L)*1DCHgk_{2p!0_qa1Op4n z@2cE_7;?1AndH?`=xX2SycSvcMw~-YZz8|tNadD-^7m4^N1^D54a;pW9b3dM;^Eq@ z^$-+b%DEvXsbGn@yWv9YC z%gjf%PTyyyEH2$Au5%olTO;|fSpAzU#3LL|pl9qnO3XTU782`I1syU>`YdErKR1Nb zmCedtCp*SMWXR0?wY;XknymVaDd<#jt3cxkw=stTAizN=$!)A>1v(J^HM}?gBjC9K zo8$zH{~-?-@ctiWaXq_Z&p68kc>lxK+`x~2h|dE+vEy^oUaw{|^QI$$Kx?o}9)J&A z_)NV=odXXcgFxW~AkcIDU&#;7f*tb!wBV9w(W%qTSalKzRM&<8BK@BP1j-LihjH-& zFA;($VeY&D1TlvifUQyiV3<80fCt;)1;~L_*ds6S3K5SEfK|~0RIo8#fB@#p2aqGW zF#Jb>VbuS`xctu)h#7!MG69sZzkC22VmHfwJyMt)KY&m3&yXA&>svGc1p0&k0ulc| zLq4&=-1z|+gf4biBR@d(pIWN~uY>tnjq1NZY#p#KA|uP2EB diff --git a/source/images/delete.svg b/source/images/delete.svg index dbbf8ac..b613655 100644 --- a/source/images/delete.svg +++ b/source/images/delete.svg @@ -43,7 +43,7 @@ id="defs41" /> + transform="matrix(0.03252033,0,0,0.0650398,4.033515,-4.2925925)">